OpenCores
URL https://opencores.org/ocsvn/astron_ram/astron_ram/trunk

Subversion Repositories astron_ram

[/] [astron_ram/] [trunk/] [common_ram_crw_crw_ratio.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 danv
-------------------------------------------------------------------------------
2
--
3
-- Copyright (C) 2014
4
-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
5
-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
6
--
7
-- This program is free software: you can redistribute it and/or modify
8
-- it under the terms of the GNU General Public License as published by
9
-- the Free Software Foundation, either version 3 of the License, or
10
-- (at your option) any later version.
11
--
12
-- This program is distributed in the hope that it will be useful,
13
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
14
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
15
-- GNU General Public License for more details.
16
--
17
-- You should have received a copy of the GNU General Public License
18
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
19
--
20
-------------------------------------------------------------------------------
21
 
22
LIBRARY IEEE, common_pkg_lib, common_components_lib, common_ram_lib, technology_lib, tech_ram_lib;
23
USE IEEE.std_logic_1164.ALL;
24
USE common_pkg_lib.common_pkg.ALL;
25
USE common_ram_lib.common_ram_pkg.ALL;
26
USE technology_lib.technology_select_pkg.ALL;
27
 
28
ENTITY common_ram_crw_crw_ratio IS
29
  GENERIC (
30
    g_technology : NATURAL := c_tech_select_default;
31
    g_ram_a      : t_c_mem := c_mem_ram;  -- settings for port a
32
    g_ram_b      : t_c_mem := c_mem_ram;  -- data width and address range for port b
33
    g_init_file  : STRING := "UNUSED"
34
  );
35
  PORT (
36
    rst_a     : IN  STD_LOGIC := '0';
37
    rst_b     : IN  STD_LOGIC := '0';
38
    clk_a     : IN  STD_LOGIC;
39
    clk_b     : IN  STD_LOGIC;
40
    clken_a   : IN  STD_LOGIC := '1';
41
    clken_b   : IN  STD_LOGIC := '1';
42
    wr_en_a   : IN  STD_LOGIC := '0';
43
    wr_en_b   : IN  STD_LOGIC := '0';
44
    wr_dat_a  : IN  STD_LOGIC_VECTOR(g_ram_a.dat_w-1 DOWNTO 0) := (OTHERS=>'0');
45
    wr_dat_b  : IN  STD_LOGIC_VECTOR(g_ram_b.dat_w-1 DOWNTO 0) := (OTHERS=>'0');
46
    adr_a     : IN  STD_LOGIC_VECTOR(g_ram_a.adr_w-1 DOWNTO 0) := (OTHERS=>'0');
47
    adr_b     : IN  STD_LOGIC_VECTOR(g_ram_b.adr_w-1 DOWNTO 0) := (OTHERS=>'0');
48
    rd_en_a   : IN  STD_LOGIC := '1';
49
    rd_en_b   : IN  STD_LOGIC := '1';
50
    rd_dat_a  : OUT STD_LOGIC_VECTOR(g_ram_a.dat_w-1 DOWNTO 0);
51
    rd_dat_b  : OUT STD_LOGIC_VECTOR(g_ram_b.dat_w-1 DOWNTO 0);
52
    rd_val_a  : OUT STD_LOGIC;
53
    rd_val_b  : OUT STD_LOGIC
54
  );
55
END common_ram_crw_crw_ratio;
56
 
57
 
58
ARCHITECTURE str OF common_ram_crw_crw_ratio IS
59
 
60
  CONSTANT c_ram        : t_c_mem := g_ram_a;  -- use shared parameters from port a parameter
61
 
62
  CONSTANT c_rd_latency : NATURAL := sel_a_b(c_ram.latency<2,            c_ram.latency,              2);  -- handle read latency 1 or 2 in RAM
63
  CONSTANT c_pipeline   : NATURAL := sel_a_b(c_ram.latency>c_rd_latency, c_ram.latency-c_rd_latency, 0);  -- handle rest of read latency > 2 in pipeline
64
 
65
  -- Intermediate signal for extra pipelining
66
  SIGNAL ram_rd_dat_a   : STD_LOGIC_VECTOR(rd_dat_a'RANGE);
67
  SIGNAL ram_rd_dat_b   : STD_LOGIC_VECTOR(rd_dat_b'RANGE);
68
 
69
  -- Map sl to single bit slv for rd_val pipelining
70
  SIGNAL ram_rd_en_a    : STD_LOGIC_VECTOR(0 DOWNTO 0);
71
  SIGNAL ram_rd_en_b    : STD_LOGIC_VECTOR(0 DOWNTO 0);
72
  SIGNAL ram_rd_val_a   : STD_LOGIC_VECTOR(0 DOWNTO 0);
73
  SIGNAL ram_rd_val_b   : STD_LOGIC_VECTOR(0 DOWNTO 0);
74
 
75
BEGIN
76
 
77
  ASSERT c_ram.latency >= 1
78
    REPORT "common_ram_crw_crw_ratio : only support read latency >= 1"
79
    SEVERITY FAILURE;
80
 
81
  ASSERT g_ram_a.latency = g_ram_b.latency
82
    REPORT "common_ram_crw_crw_ratio : only support same read latency for both ports"
83
    SEVERITY FAILURE;
84
 
85
  -- memory access
86
  u_ramk : ENTITY tech_ram_lib.tech_memory_ram_crwk_crw
87
  GENERIC MAP (
88
    g_technology  => g_technology,
89
    g_adr_a_w     => g_ram_a.adr_w,
90
    g_adr_b_w     => g_ram_b.adr_w,
91
    g_dat_a_w     => g_ram_a.dat_w,
92
    g_dat_b_w     => g_ram_b.dat_w,
93
    g_nof_words_a => g_ram_a.nof_dat,
94
    g_nof_words_b => g_ram_b.nof_dat,
95
    g_rd_latency  => c_rd_latency,
96
    g_init_file   => g_init_file
97
  )
98
  PORT MAP (
99
    clock_a     => clk_a,
100
    clock_b     => clk_b,
101
    enable_a    => clken_a,
102
    enable_b    => clken_b,
103
    wren_a      => wr_en_a,
104
    wren_b      => wr_en_b,
105
    data_a      => wr_dat_a,
106
    data_b      => wr_dat_b,
107
    address_a   => adr_a,
108
    address_b   => adr_b,
109
    q_a         => ram_rd_dat_a,
110
    q_b         => ram_rd_dat_b
111
  );
112
 
113
  -- read output
114
  u_pipe_a : ENTITY common_components_lib.common_pipeline
115
  GENERIC MAP (
116
    g_pipeline   => c_pipeline,
117
    g_in_dat_w   => g_ram_a.dat_w,
118
    g_out_dat_w  => g_ram_a.dat_w
119
  )
120
  PORT MAP (
121
    clk     => clk_a,
122
    clken   => clken_a,
123
    in_dat  => ram_rd_dat_a,
124
    out_dat => rd_dat_a
125
  );
126
 
127
  u_pipe_b : ENTITY common_components_lib.common_pipeline
128
  GENERIC MAP (
129
    g_pipeline   => c_pipeline,
130
    g_in_dat_w   => g_ram_b.dat_w,
131
    g_out_dat_w  => g_ram_b.dat_w
132
  )
133
  PORT MAP (
134
    clk     => clk_b,
135
    clken   => clken_b,
136
    in_dat  => ram_rd_dat_b,
137
    out_dat => rd_dat_b
138
  );
139
 
140
  -- rd_val control
141
  ram_rd_en_a(0) <= rd_en_a;
142
  ram_rd_en_b(0) <= rd_en_b;
143
 
144
  rd_val_a <= ram_rd_val_a(0);
145
  rd_val_b <= ram_rd_val_b(0);
146
 
147
  u_rd_val_a : ENTITY common_components_lib.common_pipeline
148
  GENERIC MAP (
149
    g_pipeline   => c_ram.latency,
150
    g_in_dat_w   => 1,
151
    g_out_dat_w  => 1
152
  )
153
  PORT MAP (
154
    clk     => clk_a,
155
    clken   => clken_a,
156
    in_dat  => ram_rd_en_a,
157
    out_dat => ram_rd_val_a
158
  );
159
 
160
  u_rd_val_b : ENTITY common_components_lib.common_pipeline
161
  GENERIC MAP (
162
    g_pipeline   => c_ram.latency,
163
    g_in_dat_w   => 1,
164
    g_out_dat_w  => 1
165
  )
166
  PORT MAP (
167
    clk     => clk_b,
168
    clken   => clken_b,
169
    in_dat  => ram_rd_en_b,
170
    out_dat => ram_rd_val_b
171
  );
172
 
173
END str;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.