OpenCores
URL https://opencores.org/ocsvn/astron_ram/astron_ram/trunk

Subversion Repositories astron_ram

[/] [astron_ram/] [trunk/] [common_ram_crw_crw_ratio.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 danv
-------------------------------------------------------------------------------
2
--
3 3 danv
-- Copyright 2020
4 2 danv
-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
5
-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
6 3 danv
-- 
7
-- Licensed under the Apache License, Version 2.0 (the "License");
8
-- you may not use this file except in compliance with the License.
9
-- You may obtain a copy of the License at
10
-- 
11
--     http://www.apache.org/licenses/LICENSE-2.0
12
-- 
13
-- Unless required by applicable law or agreed to in writing, software
14
-- distributed under the License is distributed on an "AS IS" BASIS,
15
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
16
-- See the License for the specific language governing permissions and
17
-- limitations under the License.
18 2 danv
--
19
-------------------------------------------------------------------------------
20
 
21 4 danv
LIBRARY IEEE, common_pkg_lib, common_components_lib;
22 2 danv
USE IEEE.std_logic_1164.ALL;
23
USE common_pkg_lib.common_pkg.ALL;
24 4 danv
USE work.common_ram_pkg.ALL;
25
--USE technology_lib.technology_select_pkg.ALL;
26 2 danv
 
27
ENTITY common_ram_crw_crw_ratio IS
28
  GENERIC (
29 4 danv
    g_technology : NATURAL := 0;
30 2 danv
    g_ram_a      : t_c_mem := c_mem_ram;  -- settings for port a
31
    g_ram_b      : t_c_mem := c_mem_ram;  -- data width and address range for port b
32
    g_init_file  : STRING := "UNUSED"
33
  );
34
  PORT (
35
    rst_a     : IN  STD_LOGIC := '0';
36
    rst_b     : IN  STD_LOGIC := '0';
37
    clk_a     : IN  STD_LOGIC;
38
    clk_b     : IN  STD_LOGIC;
39
    clken_a   : IN  STD_LOGIC := '1';
40
    clken_b   : IN  STD_LOGIC := '1';
41
    wr_en_a   : IN  STD_LOGIC := '0';
42
    wr_en_b   : IN  STD_LOGIC := '0';
43
    wr_dat_a  : IN  STD_LOGIC_VECTOR(g_ram_a.dat_w-1 DOWNTO 0) := (OTHERS=>'0');
44
    wr_dat_b  : IN  STD_LOGIC_VECTOR(g_ram_b.dat_w-1 DOWNTO 0) := (OTHERS=>'0');
45
    adr_a     : IN  STD_LOGIC_VECTOR(g_ram_a.adr_w-1 DOWNTO 0) := (OTHERS=>'0');
46
    adr_b     : IN  STD_LOGIC_VECTOR(g_ram_b.adr_w-1 DOWNTO 0) := (OTHERS=>'0');
47
    rd_en_a   : IN  STD_LOGIC := '1';
48
    rd_en_b   : IN  STD_LOGIC := '1';
49
    rd_dat_a  : OUT STD_LOGIC_VECTOR(g_ram_a.dat_w-1 DOWNTO 0);
50
    rd_dat_b  : OUT STD_LOGIC_VECTOR(g_ram_b.dat_w-1 DOWNTO 0);
51
    rd_val_a  : OUT STD_LOGIC;
52
    rd_val_b  : OUT STD_LOGIC
53
  );
54
END common_ram_crw_crw_ratio;
55
 
56
 
57
ARCHITECTURE str OF common_ram_crw_crw_ratio IS
58
 
59
  CONSTANT c_ram        : t_c_mem := g_ram_a;  -- use shared parameters from port a parameter
60
 
61
  CONSTANT c_rd_latency : NATURAL := sel_a_b(c_ram.latency<2,            c_ram.latency,              2);  -- handle read latency 1 or 2 in RAM
62
  CONSTANT c_pipeline   : NATURAL := sel_a_b(c_ram.latency>c_rd_latency, c_ram.latency-c_rd_latency, 0);  -- handle rest of read latency > 2 in pipeline
63
 
64
  -- Intermediate signal for extra pipelining
65
  SIGNAL ram_rd_dat_a   : STD_LOGIC_VECTOR(rd_dat_a'RANGE);
66
  SIGNAL ram_rd_dat_b   : STD_LOGIC_VECTOR(rd_dat_b'RANGE);
67
 
68
  -- Map sl to single bit slv for rd_val pipelining
69
  SIGNAL ram_rd_en_a    : STD_LOGIC_VECTOR(0 DOWNTO 0);
70
  SIGNAL ram_rd_en_b    : STD_LOGIC_VECTOR(0 DOWNTO 0);
71
  SIGNAL ram_rd_val_a   : STD_LOGIC_VECTOR(0 DOWNTO 0);
72
  SIGNAL ram_rd_val_b   : STD_LOGIC_VECTOR(0 DOWNTO 0);
73
 
74
BEGIN
75
 
76
  ASSERT c_ram.latency >= 1
77
    REPORT "common_ram_crw_crw_ratio : only support read latency >= 1"
78
    SEVERITY FAILURE;
79
 
80
  ASSERT g_ram_a.latency = g_ram_b.latency
81
    REPORT "common_ram_crw_crw_ratio : only support same read latency for both ports"
82
    SEVERITY FAILURE;
83
 
84
  -- memory access
85 4 danv
  u_ramk : ENTITY work.tech_memory_ram_crwk_crw
86 2 danv
  GENERIC MAP (
87
    g_technology  => g_technology,
88
    g_adr_a_w     => g_ram_a.adr_w,
89
    g_adr_b_w     => g_ram_b.adr_w,
90
    g_dat_a_w     => g_ram_a.dat_w,
91
    g_dat_b_w     => g_ram_b.dat_w,
92
    g_nof_words_a => g_ram_a.nof_dat,
93
    g_nof_words_b => g_ram_b.nof_dat,
94
    g_rd_latency  => c_rd_latency,
95
    g_init_file   => g_init_file
96
  )
97
  PORT MAP (
98
    clock_a     => clk_a,
99
    clock_b     => clk_b,
100
    enable_a    => clken_a,
101
    enable_b    => clken_b,
102
    wren_a      => wr_en_a,
103
    wren_b      => wr_en_b,
104
    data_a      => wr_dat_a,
105
    data_b      => wr_dat_b,
106
    address_a   => adr_a,
107
    address_b   => adr_b,
108
    q_a         => ram_rd_dat_a,
109
    q_b         => ram_rd_dat_b
110
  );
111
 
112
  -- read output
113
  u_pipe_a : ENTITY common_components_lib.common_pipeline
114
  GENERIC MAP (
115
    g_pipeline   => c_pipeline,
116
    g_in_dat_w   => g_ram_a.dat_w,
117
    g_out_dat_w  => g_ram_a.dat_w
118
  )
119
  PORT MAP (
120
    clk     => clk_a,
121
    clken   => clken_a,
122
    in_dat  => ram_rd_dat_a,
123
    out_dat => rd_dat_a
124
  );
125
 
126
  u_pipe_b : ENTITY common_components_lib.common_pipeline
127
  GENERIC MAP (
128
    g_pipeline   => c_pipeline,
129
    g_in_dat_w   => g_ram_b.dat_w,
130
    g_out_dat_w  => g_ram_b.dat_w
131
  )
132
  PORT MAP (
133
    clk     => clk_b,
134
    clken   => clken_b,
135
    in_dat  => ram_rd_dat_b,
136
    out_dat => rd_dat_b
137
  );
138
 
139
  -- rd_val control
140
  ram_rd_en_a(0) <= rd_en_a;
141
  ram_rd_en_b(0) <= rd_en_b;
142
 
143
  rd_val_a <= ram_rd_val_a(0);
144
  rd_val_b <= ram_rd_val_b(0);
145
 
146
  u_rd_val_a : ENTITY common_components_lib.common_pipeline
147
  GENERIC MAP (
148
    g_pipeline   => c_ram.latency,
149
    g_in_dat_w   => 1,
150
    g_out_dat_w  => 1
151
  )
152
  PORT MAP (
153
    clk     => clk_a,
154
    clken   => clken_a,
155
    in_dat  => ram_rd_en_a,
156
    out_dat => ram_rd_val_a
157
  );
158
 
159
  u_rd_val_b : ENTITY common_components_lib.common_pipeline
160
  GENERIC MAP (
161
    g_pipeline   => c_ram.latency,
162
    g_in_dat_w   => 1,
163
    g_out_dat_w  => 1
164
  )
165
  PORT MAP (
166
    clk     => clk_b,
167
    clken   => clken_b,
168
    in_dat  => ram_rd_en_b,
169
    out_dat => ram_rd_val_b
170
  );
171
 
172
END str;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.