OpenCores
URL https://opencores.org/ocsvn/astron_ram/astron_ram/trunk

Subversion Repositories astron_ram

[/] [astron_ram/] [trunk/] [ip_stratixiv_ram_cr_cw.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 danv
-- megafunction wizard: %RAM: 2-PORT%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: altsyncram 
5
 
6
-- ============================================================
7
-- File Name: ip_stratixiv_ram_cr_cw.vhd
8
-- Megafunction Name(s):
9
--      altsyncram
10
--
11
-- Simulation Library Files(s):
12
--      altera_mf
13
-- ============================================================
14
-- ************************************************************
15
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
--
17
-- 11.1 Build 259 01/25/2012 SP 2 SJ Full Version
18
-- ************************************************************
19
 
20
 
21
--Copyright (C) 1991-2011 Altera Corporation
22
--Your use of Altera Corporation's design tools, logic functions 
23
--and other software and tools, and its AMPP partner logic 
24
--functions, and any output files from any of the foregoing 
25
--(including device programming or simulation files), and any 
26
--associated documentation or information are expressly subject 
27
--to the terms and conditions of the Altera Program License 
28
--Subscription Agreement, Altera MegaCore Function License 
29
--Agreement, or other applicable license agreement, including, 
30
--without limitation, that your use is for the sole purpose of 
31
--programming logic devices manufactured by Altera and sold by 
32
--Altera or its authorized distributors.  Please refer to the 
33
--applicable agreement for further details.
34
 
35
 
36
LIBRARY ieee;
37
USE ieee.std_logic_1164.all;
38
 
39
LIBRARY altera_mf;
40
USE altera_mf.all;
41
 
42
LIBRARY technology_lib;
43
USE technology_lib.technology_pkg.ALL;
44
 
45
ENTITY ip_stratixiv_ram_cr_cw IS
46
  GENERIC (
47
    g_adr_w      : NATURAL := 5;
48
    g_dat_w      : NATURAL := 8;
49
    g_nof_words  : NATURAL := 2**5;
50
    g_rd_latency : NATURAL := 2;  -- choose 1 or 2
51
    g_init_file  : STRING  := "UNUSED"
52
  );
53
  PORT
54
  (
55
    data      : IN  STD_LOGIC_VECTOR (g_dat_w-1 DOWNTO 0);
56
    rdaddress : IN  STD_LOGIC_VECTOR (g_adr_w-1 DOWNTO 0);
57
    rdclock   : IN  STD_LOGIC ;
58
    rdclocken : IN  STD_LOGIC  := '1';
59
    wraddress : IN  STD_LOGIC_VECTOR (g_adr_w-1 DOWNTO 0);
60
    wrclock   : IN  STD_LOGIC  := '1';
61
    wrclocken : IN  STD_LOGIC  := '1';
62
    wren      : IN  STD_LOGIC  := '0';
63
    q         : OUT STD_LOGIC_VECTOR (g_dat_w-1 DOWNTO 0)
64
  );
65
END ip_stratixiv_ram_cr_cw;
66
 
67
 
68
ARCHITECTURE SYN OF ip_stratixiv_ram_cr_cw IS
69
 
70
  CONSTANT c_outdata_reg_b : STRING := tech_sel_a_b(g_rd_latency=1, "UNREGISTERED", "CLOCK1");
71
 
72
  SIGNAL sub_wire0  : STD_LOGIC_VECTOR (g_dat_w-1 DOWNTO 0);
73
 
74
 
75
 
76
  COMPONENT altsyncram
77
  GENERIC (
78
    address_aclr_b    : STRING;
79
    address_reg_b   : STRING;
80
    clock_enable_input_a    : STRING;
81
    clock_enable_input_b    : STRING;
82
    clock_enable_output_b   : STRING;
83
    intended_device_family    : STRING;
84
    lpm_type    : STRING;
85
    numwords_a    : NATURAL;
86
    numwords_b    : NATURAL;
87
    operation_mode    : STRING;
88
    outdata_aclr_b    : STRING;
89
    outdata_reg_b   : STRING;
90
    power_up_uninitialized    : STRING;
91
    widthad_a   : NATURAL;
92
    widthad_b   : NATURAL;
93
    width_a   : NATURAL;
94
    width_b   : NATURAL;
95
    width_byteena_a   : NATURAL
96
  );
97
  PORT (
98
      clock0    : IN  STD_LOGIC ;
99
      clocken1  : IN  STD_LOGIC ;
100
      wren_a    : IN  STD_LOGIC ;
101
      address_b : IN  STD_LOGIC_VECTOR (g_adr_w-1 DOWNTO 0);
102
      clock1    : IN  STD_LOGIC ;
103
      clocken0  : IN  STD_LOGIC ;
104
      address_a : IN  STD_LOGIC_VECTOR (g_adr_w-1 DOWNTO 0);
105
      data_a    : IN  STD_LOGIC_VECTOR (g_dat_w-1 DOWNTO 0);
106
      q_b       : OUT STD_LOGIC_VECTOR (g_dat_w-1 DOWNTO 0)
107
  );
108
  END COMPONENT;
109
 
110
BEGIN
111
  q    <= sub_wire0(g_dat_w-1 DOWNTO 0);
112
 
113
  altsyncram_component : altsyncram
114
  GENERIC MAP (
115
    address_aclr_b => "NONE",
116
    address_reg_b => "CLOCK1",
117
    clock_enable_input_a => "NORMAL",
118
    clock_enable_input_b => "NORMAL",
119
    clock_enable_output_b => "NORMAL",
120
    intended_device_family => "Stratix IV",
121
    lpm_type => "altsyncram",
122
    numwords_a => g_nof_words,
123
    numwords_b => g_nof_words,
124
    operation_mode => "DUAL_PORT",
125
    outdata_aclr_b => "NONE",
126
    outdata_reg_b => c_outdata_reg_b,
127
    power_up_uninitialized => "FALSE",
128
    widthad_a => g_adr_w,
129
    widthad_b => g_adr_w,
130
    width_a => g_dat_w,
131
    width_b => g_dat_w,
132
    width_byteena_a => 1
133
  )
134
  PORT MAP (
135
    clock0 => wrclock,
136
    clocken1 => rdclocken,
137
    wren_a => wren,
138
    address_b => rdaddress,
139
    clock1 => rdclock,
140
    clocken0 => wrclocken,
141
    address_a => wraddress,
142
    data_a => data,
143
    q_b => sub_wire0
144
  );
145
 
146
 
147
 
148
END SYN;
149
 
150
-- ============================================================
151
-- CNX file retrieval info
152
-- ============================================================
153
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
154
-- Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
155
-- Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
156
-- Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
157
-- Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0"
158
-- Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
159
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
160
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "1"
161
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "1"
162
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "1"
163
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "1"
164
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "1"
165
-- Retrieval info: PRIVATE: CLRdata NUMERIC "0"
166
-- Retrieval info: PRIVATE: CLRq NUMERIC "0"
167
-- Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
168
-- Retrieval info: PRIVATE: CLRrren NUMERIC "0"
169
-- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
170
-- Retrieval info: PRIVATE: CLRwren NUMERIC "0"
171
-- Retrieval info: PRIVATE: Clock NUMERIC "1"
172
-- Retrieval info: PRIVATE: Clock_A NUMERIC "0"
173
-- Retrieval info: PRIVATE: Clock_B NUMERIC "0"
174
-- Retrieval info: PRIVATE: ECC NUMERIC "0"
175
-- Retrieval info: PRIVATE: ECC_PIPELINE_STAGE NUMERIC "0"
176
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
177
-- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
178
-- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "0"
179
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_B"
180
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
181
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix IV"
182
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
183
-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
184
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
185
-- Retrieval info: PRIVATE: MEMSIZE NUMERIC "256"
186
-- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
187
-- Retrieval info: PRIVATE: MIFfilename STRING "fft_3n1024sin.hex"
188
-- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "2"
189
-- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0"
190
-- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "1"
191
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
192
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2"
193
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
194
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3"
195
-- Retrieval info: PRIVATE: REGdata NUMERIC "1"
196
-- Retrieval info: PRIVATE: REGq NUMERIC "1"
197
-- Retrieval info: PRIVATE: REGrdaddress NUMERIC "1"
198
-- Retrieval info: PRIVATE: REGrren NUMERIC "1"
199
-- Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
200
-- Retrieval info: PRIVATE: REGwren NUMERIC "1"
201
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
202
-- Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0"
203
-- Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
204
-- Retrieval info: PRIVATE: VarWidth NUMERIC "0"
205
-- Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "8"
206
-- Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "8"
207
-- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "8"
208
-- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "8"
209
-- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
210
-- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "0"
211
-- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
212
-- Retrieval info: PRIVATE: enable NUMERIC "1"
213
-- Retrieval info: PRIVATE: rden NUMERIC "0"
214
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
215
-- Retrieval info: CONSTANT: ADDRESS_ACLR_B STRING "NONE"
216
-- Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK1"
217
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "NORMAL"
218
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "NORMAL"
219
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "NORMAL"
220
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix IV"
221
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
222
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "32"
223
-- Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "32"
224
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "DUAL_PORT"
225
-- Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE"
226
-- Retrieval info: CONSTANT: OUTDATA_REG_B STRING "CLOCK1"
227
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
228
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "5"
229
-- Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "5"
230
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
231
-- Retrieval info: CONSTANT: WIDTH_B NUMERIC "8"
232
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
233
-- Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL "data[7..0]"
234
-- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]"
235
-- Retrieval info: USED_PORT: rdaddress 0 0 5 0 INPUT NODEFVAL "rdaddress[4..0]"
236
-- Retrieval info: USED_PORT: rdclock 0 0 0 0 INPUT NODEFVAL "rdclock"
237
-- Retrieval info: USED_PORT: rdclocken 0 0 0 0 INPUT VCC "rdclocken"
238
-- Retrieval info: USED_PORT: wraddress 0 0 5 0 INPUT NODEFVAL "wraddress[4..0]"
239
-- Retrieval info: USED_PORT: wrclock 0 0 0 0 INPUT VCC "wrclock"
240
-- Retrieval info: USED_PORT: wrclocken 0 0 0 0 INPUT VCC "wrclocken"
241
-- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT GND "wren"
242
-- Retrieval info: CONNECT: @address_a 0 0 5 0 wraddress 0 0 5 0
243
-- Retrieval info: CONNECT: @address_b 0 0 5 0 rdaddress 0 0 5 0
244
-- Retrieval info: CONNECT: @clock0 0 0 0 0 wrclock 0 0 0 0
245
-- Retrieval info: CONNECT: @clock1 0 0 0 0 rdclock 0 0 0 0
246
-- Retrieval info: CONNECT: @clocken0 0 0 0 0 wrclocken 0 0 0 0
247
-- Retrieval info: CONNECT: @clocken1 0 0 0 0 rdclocken 0 0 0 0
248
-- Retrieval info: CONNECT: @data_a 0 0 8 0 data 0 0 8 0
249
-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
250
-- Retrieval info: CONNECT: q 0 0 8 0 @q_b 0 0 8 0
251
-- Retrieval info: GEN_FILE: TYPE_NORMAL ip_stratixiv_ram_cr_cw.vhd TRUE
252
-- Retrieval info: GEN_FILE: TYPE_NORMAL ip_stratixiv_ram_cr_cw.inc FALSE
253
-- Retrieval info: GEN_FILE: TYPE_NORMAL ip_stratixiv_ram_cr_cw.cmp FALSE
254
-- Retrieval info: GEN_FILE: TYPE_NORMAL ip_stratixiv_ram_cr_cw.bsf FALSE
255
-- Retrieval info: GEN_FILE: TYPE_NORMAL ip_stratixiv_ram_cr_cw_inst.vhd FALSE
256
-- Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.