OpenCores
URL https://opencores.org/ocsvn/astron_ram/astron_ram/trunk

Subversion Repositories astron_ram

[/] [astron_ram/] [trunk/] [ip_stratixiv_ram_crwk_crw.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 danv
-- megafunction wizard: %RAM: 2-PORT%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: altsyncram 
5
 
6
-- ============================================================
7
-- File Name: ip_stratixiv_ram_crwk_crw.vhd
8
-- Megafunction Name(s):
9
--                      altsyncram
10
--
11
-- Simulation Library Files(s):
12
--                      altera_mf
13
-- ============================================================
14
-- ************************************************************
15
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
--
17
-- 10.0 Build 218 06/27/2010 SJ Full Version
18
-- ************************************************************
19
 
20
 
21
--Copyright (C) 1991-2010 Altera Corporation
22
--Your use of Altera Corporation's design tools, logic functions 
23
--and other software and tools, and its AMPP partner logic 
24
--functions, and any output files from any of the foregoing 
25
--(including device programming or simulation files), and any 
26
--associated documentation or information are expressly subject 
27
--to the terms and conditions of the Altera Program License 
28
--Subscription Agreement, Altera MegaCore Function License 
29
--Agreement, or other applicable license agreement, including, 
30
--without limitation, that your use is for the sole purpose of 
31
--programming logic devices manufactured by Altera and sold by 
32
--Altera or its authorized distributors.  Please refer to the 
33
--applicable agreement for further details.
34
 
35
 
36
LIBRARY ieee;
37
USE ieee.std_logic_1164.all;
38
 
39
LIBRARY altera_mf;
40
USE altera_mf.all;
41
 
42
LIBRARY technology_lib;
43
USE technology_lib.technology_pkg.ALL;
44
 
45
ENTITY ip_stratixiv_ram_crwk_crw IS  -- support different port data widths and corresponding address ranges
46
  GENERIC (
47
    g_adr_a_w     : NATURAL := 5;
48
    g_dat_a_w     : NATURAL := 32;
49
    g_adr_b_w     : NATURAL := 7;
50
    g_dat_b_w     : NATURAL := 8;
51
    g_nof_words_a : NATURAL := 2**5;
52
    g_nof_words_b : NATURAL := 2**7;
53
    g_rd_latency  : NATURAL := 2;     -- choose 1 or 2
54
    g_init_file   : STRING  := "UNUSED"
55
  );
56
        PORT
57
        (
58
                address_a               : IN STD_LOGIC_VECTOR (g_adr_a_w-1 DOWNTO 0);
59
                address_b               : IN STD_LOGIC_VECTOR (g_adr_b_w-1 DOWNTO 0);
60
                clock_a         : IN STD_LOGIC  := '1';
61
                clock_b         : IN STD_LOGIC ;
62
                data_a          : IN STD_LOGIC_VECTOR (g_dat_a_w-1 DOWNTO 0);
63
                data_b          : IN STD_LOGIC_VECTOR (g_dat_b_w-1 DOWNTO 0);
64
                enable_a                : IN STD_LOGIC  := '1';
65
                enable_b                : IN STD_LOGIC  := '1';
66
                rden_a          : IN STD_LOGIC  := '1';
67
                rden_b          : IN STD_LOGIC  := '1';
68
                wren_a          : IN STD_LOGIC  := '0';
69
                wren_b          : IN STD_LOGIC  := '0';
70
                q_a             : OUT STD_LOGIC_VECTOR (g_dat_a_w-1 DOWNTO 0);
71
                q_b             : OUT STD_LOGIC_VECTOR (g_dat_b_w-1 DOWNTO 0)
72
        );
73
END ip_stratixiv_ram_crwk_crw;
74
 
75
 
76
ARCHITECTURE SYN OF ip_stratixiv_ram_crwk_crw IS
77
 
78
  CONSTANT c_outdata_reg_a : STRING := tech_sel_a_b(g_rd_latency=1, "UNREGISTERED", "CLOCK0");
79
  CONSTANT c_outdata_reg_b : STRING := tech_sel_a_b(g_rd_latency=1, "UNREGISTERED", "CLOCK1");
80
 
81
        SIGNAL sub_wire0        : STD_LOGIC_VECTOR (g_dat_a_w-1 DOWNTO 0);
82
        SIGNAL sub_wire1        : STD_LOGIC_VECTOR (g_dat_b_w-1 DOWNTO 0);
83
 
84
 
85
 
86
        COMPONENT altsyncram
87
        GENERIC (
88
                address_reg_b           : STRING;
89
                clock_enable_input_a            : STRING;
90
                clock_enable_input_b            : STRING;
91
                clock_enable_output_a           : STRING;
92
                clock_enable_output_b           : STRING;
93
                indata_reg_b            : STRING;
94
                init_file               : STRING;
95
                init_file_layout                : STRING;
96
                intended_device_family          : STRING;
97
                lpm_type                : STRING;
98
                numwords_a              : NATURAL;
99
                numwords_b              : NATURAL;
100
                operation_mode          : STRING;
101
                outdata_aclr_a          : STRING;
102
                outdata_aclr_b          : STRING;
103
                outdata_reg_a           : STRING;
104
                outdata_reg_b           : STRING;
105
                power_up_uninitialized          : STRING;
106
                read_during_write_mode_port_a           : STRING;
107
                read_during_write_mode_port_b           : STRING;
108
                widthad_a               : NATURAL;
109
                widthad_b               : NATURAL;
110
                width_a         : NATURAL;
111
                width_b         : NATURAL;
112
                width_byteena_a         : NATURAL;
113
                width_byteena_b         : NATURAL;
114
                wrcontrol_wraddress_reg_b               : STRING
115
        );
116
        PORT (
117
                        clock0  : IN STD_LOGIC ;
118
                        clocken1        : IN STD_LOGIC ;
119
                        wren_a  : IN STD_LOGIC ;
120
                        clock1  : IN STD_LOGIC ;
121
                        q_a     : OUT STD_LOGIC_VECTOR (g_dat_a_w-1 DOWNTO 0);
122
                        rden_a  : IN STD_LOGIC ;
123
                        wren_b  : IN STD_LOGIC ;
124
                        address_a       : IN STD_LOGIC_VECTOR (g_adr_a_w-1 DOWNTO 0);
125
                        data_a  : IN STD_LOGIC_VECTOR (g_dat_a_w-1 DOWNTO 0);
126
                        q_b     : OUT STD_LOGIC_VECTOR (g_dat_b_w-1 DOWNTO 0);
127
                        rden_b  : IN STD_LOGIC ;
128
                        address_b       : IN STD_LOGIC_VECTOR (g_adr_b_w-1 DOWNTO 0);
129
                        clocken0        : IN STD_LOGIC ;
130
                        data_b  : IN STD_LOGIC_VECTOR (g_dat_b_w-1 DOWNTO 0)
131
        );
132
        END COMPONENT;
133
 
134
BEGIN
135
        q_a    <= sub_wire0(g_dat_a_w-1 DOWNTO 0);
136
        q_b    <= sub_wire1(g_dat_b_w-1 DOWNTO 0);
137
 
138
        altsyncram_component : altsyncram
139
        GENERIC MAP (
140
                address_reg_b => "CLOCK1",
141
                clock_enable_input_a => "NORMAL",
142
                clock_enable_input_b => "NORMAL",
143
                clock_enable_output_a => "NORMAL",
144
                clock_enable_output_b => "NORMAL",
145
                indata_reg_b => "CLOCK1",
146
                init_file => g_init_file,
147
                init_file_layout => "PORT_B",
148
                intended_device_family => "Stratix IV",
149
                lpm_type => "altsyncram",
150
                numwords_a => g_nof_words_a,
151
                numwords_b => g_nof_words_b,
152
                operation_mode => "BIDIR_DUAL_PORT",
153
                outdata_aclr_a => "NONE",
154
                outdata_aclr_b => "NONE",
155
                outdata_reg_a => c_outdata_reg_a,
156
                outdata_reg_b => c_outdata_reg_b,
157
                power_up_uninitialized => "FALSE",
158
                read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ",
159
                read_during_write_mode_port_b => "NEW_DATA_NO_NBE_READ",
160
                widthad_a => g_adr_a_w,
161
                widthad_b => g_adr_b_w,
162
                width_a => g_dat_a_w,
163
                width_b => g_dat_b_w,
164
                width_byteena_a => 1,
165
                width_byteena_b => 1,
166
                wrcontrol_wraddress_reg_b => "CLOCK1"
167
        )
168
        PORT MAP (
169
                clock0 => clock_a,
170
                clocken1 => enable_b,
171
                wren_a => wren_a,
172
                clock1 => clock_b,
173
                rden_a => rden_a,
174
                wren_b => wren_b,
175
                address_a => address_a,
176
                data_a => data_a,
177
                rden_b => rden_b,
178
                address_b => address_b,
179
                clocken0 => enable_a,
180
                data_b => data_b,
181
                q_a => sub_wire0,
182
                q_b => sub_wire1
183
        );
184
 
185
 
186
 
187
END SYN;
188
 
189
-- ============================================================
190
-- CNX file retrieval info
191
-- ============================================================
192
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
193
-- Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
194
-- Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
195
-- Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
196
-- Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0"
197
-- Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
198
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
199
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
200
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "1"
201
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "1"
202
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "1"
203
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "1"
204
-- Retrieval info: PRIVATE: CLRdata NUMERIC "0"
205
-- Retrieval info: PRIVATE: CLRq NUMERIC "0"
206
-- Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
207
-- Retrieval info: PRIVATE: CLRrren NUMERIC "0"
208
-- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
209
-- Retrieval info: PRIVATE: CLRwren NUMERIC "0"
210
-- Retrieval info: PRIVATE: Clock NUMERIC "5"
211
-- Retrieval info: PRIVATE: Clock_A NUMERIC "0"
212
-- Retrieval info: PRIVATE: Clock_B NUMERIC "0"
213
-- Retrieval info: PRIVATE: ECC NUMERIC "0"
214
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
215
-- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
216
-- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1"
217
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_B"
218
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
219
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix IV"
220
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
221
-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
222
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
223
-- Retrieval info: PRIVATE: MEMSIZE NUMERIC "8192"
224
-- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
225
-- Retrieval info: PRIVATE: MIFfilename STRING "../../Lofar/pfs/src/data/pfs_coefsbuf_1024.hex"
226
-- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "3"
227
-- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0"
228
-- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "1"
229
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
230
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2"
231
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
232
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3"
233
-- Retrieval info: PRIVATE: REGdata NUMERIC "1"
234
-- Retrieval info: PRIVATE: REGq NUMERIC "1"
235
-- Retrieval info: PRIVATE: REGrdaddress NUMERIC "0"
236
-- Retrieval info: PRIVATE: REGrren NUMERIC "1"
237
-- Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
238
-- Retrieval info: PRIVATE: REGwren NUMERIC "1"
239
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
240
-- Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0"
241
-- Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
242
-- Retrieval info: PRIVATE: VarWidth NUMERIC "1"
243
-- Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "32"
244
-- Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "8"
245
-- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "32"
246
-- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "8"
247
-- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
248
-- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1"
249
-- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
250
-- Retrieval info: PRIVATE: enable NUMERIC "1"
251
-- Retrieval info: PRIVATE: rden NUMERIC "1"
252
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
253
-- Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK1"
254
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "NORMAL"
255
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "NORMAL"
256
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "NORMAL"
257
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "NORMAL"
258
-- Retrieval info: CONSTANT: INDATA_REG_B STRING "CLOCK1"
259
-- Retrieval info: CONSTANT: INIT_FILE STRING "../../Lofar/pfs/src/data/pfs_coefsbuf_1024.hex"
260
-- Retrieval info: CONSTANT: INIT_FILE_LAYOUT STRING "PORT_B"
261
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix IV"
262
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
263
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "256"
264
-- Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "1024"
265
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "BIDIR_DUAL_PORT"
266
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
267
-- Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE"
268
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0"
269
-- Retrieval info: CONSTANT: OUTDATA_REG_B STRING "CLOCK1"
270
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
271
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ"
272
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_B STRING "NEW_DATA_NO_NBE_READ"
273
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "8"
274
-- Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "10"
275
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "32"
276
-- Retrieval info: CONSTANT: WIDTH_B NUMERIC "8"
277
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
278
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_B NUMERIC "1"
279
-- Retrieval info: CONSTANT: WRCONTROL_WRADDRESS_REG_B STRING "CLOCK1"
280
-- Retrieval info: USED_PORT: address_a 0 0 8 0 INPUT NODEFVAL "address_a[7..0]"
281
-- Retrieval info: USED_PORT: address_b 0 0 10 0 INPUT NODEFVAL "address_b[9..0]"
282
-- Retrieval info: USED_PORT: clock_a 0 0 0 0 INPUT VCC "clock_a"
283
-- Retrieval info: USED_PORT: clock_b 0 0 0 0 INPUT NODEFVAL "clock_b"
284
-- Retrieval info: USED_PORT: data_a 0 0 32 0 INPUT NODEFVAL "data_a[31..0]"
285
-- Retrieval info: USED_PORT: data_b 0 0 8 0 INPUT NODEFVAL "data_b[7..0]"
286
-- Retrieval info: USED_PORT: enable_a 0 0 0 0 INPUT VCC "enable_a"
287
-- Retrieval info: USED_PORT: enable_b 0 0 0 0 INPUT VCC "enable_b"
288
-- Retrieval info: USED_PORT: q_a 0 0 32 0 OUTPUT NODEFVAL "q_a[31..0]"
289
-- Retrieval info: USED_PORT: q_b 0 0 8 0 OUTPUT NODEFVAL "q_b[7..0]"
290
-- Retrieval info: USED_PORT: rden_a 0 0 0 0 INPUT VCC "rden_a"
291
-- Retrieval info: USED_PORT: rden_b 0 0 0 0 INPUT VCC "rden_b"
292
-- Retrieval info: USED_PORT: wren_a 0 0 0 0 INPUT GND "wren_a"
293
-- Retrieval info: USED_PORT: wren_b 0 0 0 0 INPUT GND "wren_b"
294
-- Retrieval info: CONNECT: @address_a 0 0 8 0 address_a 0 0 8 0
295
-- Retrieval info: CONNECT: @address_b 0 0 10 0 address_b 0 0 10 0
296
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock_a 0 0 0 0
297
-- Retrieval info: CONNECT: @clock1 0 0 0 0 clock_b 0 0 0 0
298
-- Retrieval info: CONNECT: @clocken0 0 0 0 0 enable_a 0 0 0 0
299
-- Retrieval info: CONNECT: @clocken1 0 0 0 0 enable_b 0 0 0 0
300
-- Retrieval info: CONNECT: @data_a 0 0 32 0 data_a 0 0 32 0
301
-- Retrieval info: CONNECT: @data_b 0 0 8 0 data_b 0 0 8 0
302
-- Retrieval info: CONNECT: @rden_a 0 0 0 0 rden_a 0 0 0 0
303
-- Retrieval info: CONNECT: @rden_b 0 0 0 0 rden_b 0 0 0 0
304
-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren_a 0 0 0 0
305
-- Retrieval info: CONNECT: @wren_b 0 0 0 0 wren_b 0 0 0 0
306
-- Retrieval info: CONNECT: q_a 0 0 32 0 @q_a 0 0 32 0
307
-- Retrieval info: CONNECT: q_b 0 0 8 0 @q_b 0 0 8 0
308
-- Retrieval info: GEN_FILE: TYPE_NORMAL ip_stratixiv_ram_crwk_crw.vhd TRUE
309
-- Retrieval info: GEN_FILE: TYPE_NORMAL ip_stratixiv_ram_crwk_crw.inc FALSE
310
-- Retrieval info: GEN_FILE: TYPE_NORMAL ip_stratixiv_ram_crwk_crw.cmp TRUE
311
-- Retrieval info: GEN_FILE: TYPE_NORMAL ip_stratixiv_ram_crwk_crw.bsf FALSE
312
-- Retrieval info: GEN_FILE: TYPE_NORMAL ip_stratixiv_ram_crwk_crw_inst.vhd FALSE
313
-- Retrieval info: GEN_FILE: TYPE_NORMAL ip_stratixiv_ram_crwk_crw_waveforms.html TRUE
314
-- Retrieval info: GEN_FILE: TYPE_NORMAL ip_stratixiv_ram_crwk_crw_wave*.jpg FALSE
315
-- Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.