OpenCores
URL https://opencores.org/ocsvn/astron_ram/astron_ram/trunk

Subversion Repositories astron_ram

[/] [astron_ram/] [trunk/] [tech_memory_ram_crw_crw.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 danv
-------------------------------------------------------------------------------
2
--
3 3 danv
-- Copyright 2020
4 2 danv
-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
5
-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
6 3 danv
-- 
7
-- Licensed under the Apache License, Version 2.0 (the "License");
8
-- you may not use this file except in compliance with the License.
9
-- You may obtain a copy of the License at
10
-- 
11
--     http://www.apache.org/licenses/LICENSE-2.0
12
-- 
13
-- Unless required by applicable law or agreed to in writing, software
14
-- distributed under the License is distributed on an "AS IS" BASIS,
15
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
16
-- See the License for the specific language governing permissions and
17
-- limitations under the License.
18 2 danv
--
19
-------------------------------------------------------------------------------
20
 
21 4 danv
LIBRARY ieee;
22 2 danv
USE ieee.std_logic_1164.all;
23
USE work.tech_memory_component_pkg.ALL;
24 4 danv
--USE technology_lib.technology_pkg.ALL;
25
--USE technology_lib.technology_select_pkg.ALL;
26 2 danv
 
27
-- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
28 4 danv
--LIBRARY ip_stratixiv_ram_lib;
29 2 danv
--LIBRARY ip_arria10_ram_lib;
30
--LIBRARY ip_arria10_e3sge3_ram_lib;
31
--LIBRARY ip_arria10_e1sg_ram_lib;
32
 
33
ENTITY tech_memory_ram_crw_crw IS
34
  GENERIC (
35 4 danv
    g_technology : NATURAL := 0; --c_tech_select_default;
36 2 danv
    g_adr_w      : NATURAL := 5;
37
    g_dat_w      : NATURAL := 8;
38
    g_nof_words  : NATURAL := 2**5;
39
    g_rd_latency : NATURAL := 2;  -- choose 1 or 2
40
    g_init_file  : STRING  := "UNUSED"
41
  );
42
  PORT
43
  (
44
    address_a : IN STD_LOGIC_VECTOR (g_adr_w-1 DOWNTO 0);
45
    address_b : IN STD_LOGIC_VECTOR (g_adr_w-1 DOWNTO 0);
46
    clock_a   : IN STD_LOGIC  := '1';
47
    clock_b   : IN STD_LOGIC ;
48
    data_a    : IN STD_LOGIC_VECTOR (g_dat_w-1 DOWNTO 0);
49
    data_b    : IN STD_LOGIC_VECTOR (g_dat_w-1 DOWNTO 0);
50
    enable_a  : IN STD_LOGIC  := '1';
51
    enable_b  : IN STD_LOGIC  := '1';
52
    rden_a    : IN STD_LOGIC  := '1';
53
    rden_b    : IN STD_LOGIC  := '1';
54
    wren_a    : IN STD_LOGIC  := '0';
55
    wren_b    : IN STD_LOGIC  := '0';
56
    q_a       : OUT STD_LOGIC_VECTOR (g_dat_w-1 DOWNTO 0);
57
    q_b       : OUT STD_LOGIC_VECTOR (g_dat_w-1 DOWNTO 0)
58
  );
59
END tech_memory_ram_crw_crw;
60
 
61
 
62
ARCHITECTURE str OF tech_memory_ram_crw_crw IS
63
 
64
BEGIN
65
 
66 4 danv
  gen_ip_stratixiv : IF g_technology=0 GENERATE
67 2 danv
    u0 : ip_stratixiv_ram_crw_crw
68
    GENERIC MAP (g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
69
    PORT MAP (address_a, address_b, clock_a, clock_b, data_a, data_b, enable_a, enable_b, rden_a, rden_b, wren_a, wren_b, q_a, q_b);
70
  END GENERATE;
71
 
72
--  gen_ip_arria10 : IF g_technology=c_tech_arria10 GENERATE
73
--    u0 : ip_arria10_ram_crw_crw
74
--    GENERIC MAP (FALSE, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
75
--    PORT MAP (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
76
--  END GENERATE;
77
--  
78
--  gen_ip_arria10_e3sge3 : IF g_technology=c_tech_arria10_e3sge3 GENERATE
79
--    u0 : ip_arria10_e3sge3_ram_crw_crw
80
--    GENERIC MAP (FALSE, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
81
--    PORT MAP (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
82
--  END GENERATE;
83
--  
84
--  gen_ip_arria10_e1sg : IF g_technology=c_tech_arria10_e1sg GENERATE
85
--    u0 : ip_arria10_e1sg_ram_crw_crw
86
--    GENERIC MAP (FALSE, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file)
87
--    PORT MAP (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
88
--  END GENERATE;
89
 
90
END ARCHITECTURE;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.