OpenCores
URL https://opencores.org/ocsvn/astron_ram/astron_ram/trunk

Subversion Repositories astron_ram

[/] [astron_ram/] [trunk/] [tech_memory_ram_crwk_crw.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 danv
-------------------------------------------------------------------------------
2
--
3
-- Copyright (C) 2014
4
-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
5
-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
6
--
7
-- This program is free software: you can redistribute it and/or modify
8
-- it under the terms of the GNU General Public License as published by
9
-- the Free Software Foundation, either version 3 of the License, or
10
-- (at your option) any later version.
11
--
12
-- This program is distributed in the hope that it will be useful,
13
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
14
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
15
-- GNU General Public License for more details.
16
--
17
-- You should have received a copy of the GNU General Public License
18
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
19
--
20
-------------------------------------------------------------------------------
21
 
22
LIBRARY ieee, technology_lib;
23
USE ieee.std_logic_1164.all;
24
USE work.tech_memory_component_pkg.ALL;
25
USE technology_lib.technology_pkg.ALL;
26
USE technology_lib.technology_select_pkg.ALL;
27
 
28
-- Declare IP libraries to ensure default binding in simulation. The IP library clause is ignored by synthesis.
29
LIBRARY ip_stratixiv_ram_lib;
30
--LIBRARY ip_arria10_ram_lib;
31
--LIBRARY ip_arria10_e3sge3_ram_lib;
32
--LIBRARY ip_arria10_e1sg_ram_lib;
33
 
34
ENTITY tech_memory_ram_crwk_crw IS  -- support different port data widths and corresponding address ranges
35
  GENERIC (
36
    g_technology  : NATURAL := c_tech_select_default;
37
    g_adr_a_w     : NATURAL := 5;
38
    g_dat_a_w     : NATURAL := 32;
39
    g_adr_b_w     : NATURAL := 7;
40
    g_dat_b_w     : NATURAL := 8;
41
    g_nof_words_a : NATURAL := 2**5;
42
    g_nof_words_b : NATURAL := 2**7;
43
    g_rd_latency  : NATURAL := 2;     -- choose 1 or 2
44
    g_init_file   : STRING  := "UNUSED"
45
  );
46
  PORT
47
  (
48
    address_a : IN STD_LOGIC_VECTOR (g_adr_a_w-1 DOWNTO 0);
49
    address_b : IN STD_LOGIC_VECTOR (g_adr_b_w-1 DOWNTO 0);
50
    clock_a   : IN STD_LOGIC  := '1';
51
    clock_b   : IN STD_LOGIC ;
52
    data_a    : IN STD_LOGIC_VECTOR (g_dat_a_w-1 DOWNTO 0);
53
    data_b    : IN STD_LOGIC_VECTOR (g_dat_b_w-1 DOWNTO 0);
54
    enable_a  : IN STD_LOGIC  := '1';
55
    enable_b  : IN STD_LOGIC  := '1';
56
    rden_a    : IN STD_LOGIC  := '1';
57
    rden_b    : IN STD_LOGIC  := '1';
58
    wren_a    : IN STD_LOGIC  := '0';
59
    wren_b    : IN STD_LOGIC  := '0';
60
    q_a       : OUT STD_LOGIC_VECTOR (g_dat_a_w-1 DOWNTO 0);
61
    q_b       : OUT STD_LOGIC_VECTOR (g_dat_b_w-1 DOWNTO 0)
62
  );
63
END tech_memory_ram_crwk_crw;
64
 
65
 
66
ARCHITECTURE str OF tech_memory_ram_crwk_crw IS
67
BEGIN
68
 
69
  gen_ip_stratixiv : IF g_technology=c_tech_stratixiv GENERATE
70
    u0 : ip_stratixiv_ram_crwk_crw
71
    GENERIC MAP (g_adr_a_w, g_dat_a_w, g_adr_b_w, g_dat_b_w, g_nof_words_a, g_nof_words_b, g_rd_latency, g_init_file)
72
    PORT MAP (address_a, address_b, clock_a, clock_b, data_a, data_b, enable_a, enable_b, rden_a, rden_b, wren_a, wren_b, q_a, q_b);
73
  END GENERATE;
74
 
75
--  gen_ip_arria10 : IF g_technology=c_tech_arria10 GENERATE
76
--    u0 : ip_arria10_ram_crwk_crw
77
--    GENERIC MAP (g_adr_a_w, g_dat_a_w, g_adr_b_w, g_dat_b_w, g_nof_words_a, g_nof_words_b, g_rd_latency, g_init_file)
78
--    PORT MAP (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
79
--  END GENERATE;
80
--  
81
--  gen_ip_arria10_e3sge3 : IF g_technology=c_tech_arria10_e3sge3 GENERATE
82
--    u0 : ip_arria10_e3sge3_ram_crwk_crw
83
--    GENERIC MAP (g_adr_a_w, g_dat_a_w, g_adr_b_w, g_dat_b_w, g_nof_words_a, g_nof_words_b, g_rd_latency, g_init_file)
84
--    PORT MAP (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
85
--  END GENERATE;
86
--  
87
--  gen_ip_arria10_e1sg : IF g_technology=c_tech_arria10_e1sg GENERATE
88
--    u0 : ip_arria10_e1sg_ram_crwk_crw
89
--    GENERIC MAP (g_adr_a_w, g_dat_a_w, g_adr_b_w, g_dat_b_w, g_nof_words_a, g_nof_words_b, g_rd_latency, g_init_file)
90
--    PORT MAP (address_a, address_b, clock_a, clock_b, data_a, data_b, wren_a, wren_b, q_a, q_b);
91
--  END GENERATE;
92
 
93
END ARCHITECTURE;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.