OpenCores
URL https://opencores.org/ocsvn/astron_requantizer/astron_requantizer/trunk

Subversion Repositories astron_requantizer

[/] [astron_requantizer/] [trunk/] [common_round.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 danv
-------------------------------------------------------------------------------
2
--
3 3 danv
-- Copyright 2020
4 2 danv
-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
5
-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
6 3 danv
-- 
7
-- Licensed under the Apache License, Version 2.0 (the "License");
8
-- you may not use this file except in compliance with the License.
9
-- You may obtain a copy of the License at
10
-- 
11
--     http://www.apache.org/licenses/LICENSE-2.0
12
-- 
13
-- Unless required by applicable law or agreed to in writing, software
14
-- distributed under the License is distributed on an "AS IS" BASIS,
15
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
16
-- See the License for the specific language governing permissions and
17
-- limitations under the License.
18 2 danv
--
19
-------------------------------------------------------------------------------
20
 
21
LIBRARY ieee, common_pkg_lib, common_components_lib;
22
USE ieee.std_logic_1164.ALL;
23
USE ieee.numeric_std.ALL;
24
USE common_pkg_lib.common_pkg.ALL;
25
 
26
ENTITY common_round IS
27
 
28
  --
29
  -- ISE XST results for rounding 36b --> 18b:
30
  --    int      clip  -->  slices  FFs  LUTs
31
  -- 1) signed   TRUE       63      54   80       -- increases with input widths > 18b
32
  -- 2) signed   FALSE      59      54   73       -- increases with input widths > 18b
33
  -- 3) unsigned TRUE       34      37   43       -- same for all input widths > 18b
34
  -- 4) unsigned FALSE      21      37   19       -- same for all input widths > 18b
35
  --
36
  -- If the input comes from a product and is rounded to the input width then g_round_clip can safely be FALSE, because e.g. for unsigned
37
  -- 4b*4b=8b->4b the maximum product is 15*15=225 <= 255-8, so wrapping will never occur.
38
  -- 
39
 
40
  GENERIC (
41
    g_representation  : STRING  := "SIGNED";  -- SIGNED (round +-0.5 away from zero to +- infinity) or UNSIGNED rounding (round 0.5 up to + inifinity)
42
    g_round           : BOOLEAN := TRUE;      -- when TRUE round the input, else truncate the input
43
    g_round_clip      : BOOLEAN := FALSE;     -- when TRUE clip rounded input >= +max to avoid wrapping to output -min (signed) or 0 (unsigned)
44
    g_pipeline_input  : NATURAL := 0;         -- >= 0
45
    g_pipeline_output : NATURAL := 1;         -- >= 0, use g_pipeline_input=0 and g_pipeline_output=0 for combinatorial output
46
    g_in_dat_w        : NATURAL := 36;
47
    g_out_dat_w       : NATURAL := 18
48
  );
49
  PORT (
50
    clk        : IN  STD_LOGIC;
51
    clken      : IN  STD_LOGIC := '1';
52
    in_dat     : IN  STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
53
    out_dat    : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0)
54
  );
55
END;
56
 
57
 
58
ARCHITECTURE rtl OF common_round IS
59
 
60
  CONSTANT c_remove_w     : INTEGER := g_in_dat_w-g_out_dat_w;
61
 
62
  SIGNAL reg_dat       : STD_LOGIC_VECTOR(in_dat'RANGE);
63
  SIGNAL res_dat       : STD_LOGIC_VECTOR(out_dat'RANGE);
64
 
65
BEGIN
66
 
67
  u_input_pipe : ENTITY common_components_lib.common_pipeline
68
  GENERIC MAP (
69
    g_representation => g_representation,
70
    g_pipeline       => g_pipeline_input,
71
    g_in_dat_w       => g_in_dat_w,
72
    g_out_dat_w      => g_in_dat_w
73
  )
74
  PORT MAP (
75
    clk     => clk,
76
    clken   => clken,
77
    in_dat  => in_dat,
78
    out_dat => reg_dat
79
  );
80
 
81
  -- Increase to out_dat width
82
  no_s : IF c_remove_w<=0 AND g_representation="SIGNED" GENERATE
83
    res_dat <= RESIZE_SVEC(reg_dat, g_out_dat_w);
84
  END GENERATE;
85
  no_u : IF c_remove_w<=0 AND g_representation="UNSIGNED" GENERATE
86
    res_dat <= RESIZE_UVEC(reg_dat, g_out_dat_w);
87
  END GENERATE;
88
 
89
  -- Decrease to out_dat width by c_remove_w number of LSbits
90
  -- . rounding
91
  gen_s : IF c_remove_w>0 AND g_round=TRUE AND g_representation="SIGNED" GENERATE
92
    res_dat <= s_round(reg_dat, c_remove_w, g_round_clip);
93
  END GENERATE;
94
  gen_u : IF c_remove_w>0 AND g_round=TRUE AND g_representation="UNSIGNED" GENERATE
95
    res_dat <= u_round(reg_dat, c_remove_w, g_round_clip);
96
  END GENERATE;
97
  -- . truncating
98
  gen_t : IF c_remove_w>0 AND g_round=FALSE GENERATE
99
    res_dat <= truncate(reg_dat, c_remove_w);
100
  END GENERATE;
101
 
102
  u_output_pipe : ENTITY common_components_lib.common_pipeline
103
  GENERIC MAP (
104
    g_representation => g_representation,
105
    g_pipeline       => g_pipeline_output,
106
    g_in_dat_w       => g_out_dat_w,
107
    g_out_dat_w      => g_out_dat_w
108
  )
109
  PORT MAP (
110
    clk     => clk,
111
    clken   => clken,
112
    in_dat  => res_dat,
113
    out_dat => out_dat
114
  );
115
 
116
END rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.