OpenCores
URL https://opencores.org/ocsvn/astron_wb_fft/astron_wb_fft/trunk

Subversion Repositories astron_wb_fft

[/] [astron_wb_fft/] [trunk/] [tb_fft_sepa.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 danv
-------------------------------------------------------------------------------
2
-- Author: Harm Jan Pepping : HJP at astron.nl: April 2012
3
--
4
-- Copyright (C) 2011
5
-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
6
-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
7
--
8
-- This program is free software: you can redistribute it and/or modify
9
-- it under the terms of the GNU General Public License as published by
10
-- the Free Software Foundation, either version 3 of the License, or
11
-- (at your option) any later version.
12
--
13
-- This program is distributed in the hope that it will be useful,
14
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
15
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
16
-- GNU General Public License for more details.
17
--
18
-- You should have received a copy of the GNU General Public License
19
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
20
--
21
-------------------------------------------------------------------------------
22
 
23
-- Purpose: Test bench for fft_sepa
24
-- Features:
25
--
26
-- Usage:
27
-- > as 10
28
-- > run -all    
29
-- > Testbench is selftesting. 
30
-- First frame contains always some errors. 
31
 
32
library IEEE, common_pkg_lib, dp_pkg_lib, diag_lib, common_ram_lib, mm_lib;
33
use IEEE.std_logic_1164.ALL;
34
use IEEE.numeric_std.ALL;
35
use common_pkg_lib.common_pkg.ALL;
36
use common_ram_lib.common_ram_pkg.ALL;
37
use common_pkg_lib.tb_common_pkg.ALL;
38
use mm_lib.tb_common_mem_pkg.ALL;
39
use dp_pkg_lib.dp_stream_pkg.ALL;
40
use diag_lib.diag_pkg.ALL;
41
 
42
entity tb_fft_sepa is
43
end tb_fft_sepa;
44
 
45
architecture tb of tb_fft_sepa is
46
 
47
  constant c_clk_period : time := 10 ns;
48
 
49
  constant c_nof_points   : natural := 8;
50
  constant c_nof_points_b : natural := 1024;
51
  constant c_in_dat_w     : natural := 16;
52
  constant c_bg_addr_w    : natural := ceil_log2(c_nof_points_b);
53
 
54
  type t_input_buf_arr is array (integer range <>) of std_logic_vector(c_in_dat_w-1 downto 0);
55
 
56
  -- BG derived constants
57
  constant c_nof_samples_in_packet     : natural := c_nof_points;
58
  constant c_gap                       : natural := 8;
59
  constant c_bst_skip_nof_sync         : natural := 3;
60
  constant c_nof_accum_per_sync        : natural := 10;
61
  constant c_bsn_init                  : natural := 32;
62
  constant c_bg_prefix                 : string := "data/to_separate";
63
 
64
  signal tb_end    : std_logic := '0';
65
  signal rst       : std_logic;
66
  signal clk       : std_logic := '1';
67
 
68
  signal ram_bg_data_mosi : t_mem_mosi;
69
  signal reg_bg_ctrl_mosi : t_mem_mosi;
70
  signal in_sosi_arr      : t_dp_sosi_arr(0 downto 0);
71
  signal in_siso_arr      : t_dp_siso_arr(0 downto 0);
72
  signal out_sosi         : t_dp_sosi;
73
  signal in_dat           : std_logic_vector(2*c_in_dat_w-1 downto 0);
74
  signal out_dat          : std_logic_vector(2*c_in_dat_w-1 downto 0);
75
  signal out_dat_re       : std_logic_vector(c_in_dat_w-1 downto 0);
76
  signal out_dat_im       : std_logic_vector(c_in_dat_w-1 downto 0);
77
  signal out_val          : std_logic;
78
 
79
  signal buf_input_re     : t_input_buf_arr(c_nof_points-1 downto 0);
80
  signal buf_input_im     : t_input_buf_arr(c_nof_points-1 downto 0);
81
  signal buf_output_a_re  : t_input_buf_arr(c_nof_points/2-1 downto 0);
82
  signal buf_output_a_im  : t_input_buf_arr(c_nof_points/2-1 downto 0);
83
  signal buf_output_b_re  : t_input_buf_arr(c_nof_points/2-1 downto 0);
84
  signal buf_output_b_im  : t_input_buf_arr(c_nof_points/2-1 downto 0);
85
  signal buf_output_re    : t_input_buf_arr(c_nof_points-1 downto 0);
86
  signal buf_output_im    : t_input_buf_arr(c_nof_points-1 downto 0);
87
 
88
begin
89
 
90
  clk <= (not clk) or tb_end after c_clk_period/2;
91
  rst <= '1', '0' after c_clk_period*3;
92
 
93
  p_control_input_stream : process
94
  begin
95
    tb_end <= '0';
96
    reg_bg_ctrl_mosi <= c_mem_mosi_rst;
97
 
98
    -- Wait until reset is done
99
    proc_common_wait_until_high(clk, rst);
100
    proc_common_wait_some_cycles(clk, 10);
101
 
102
    -- Set and enable the waveform generators. All generators are controlled by the same registers
103
    proc_mem_mm_bus_wr(1, c_nof_samples_in_packet,   clk, reg_bg_ctrl_mosi);  -- Set the number of samples per block
104
    proc_mem_mm_bus_wr(2, c_nof_accum_per_sync,      clk, reg_bg_ctrl_mosi);  -- Set the number of blocks per sync
105
    proc_mem_mm_bus_wr(3, c_gap,                     clk, reg_bg_ctrl_mosi);  -- Set the gapsize
106
    proc_mem_mm_bus_wr(4, 0,                         clk, reg_bg_ctrl_mosi);  -- Set the start address of the memory
107
    proc_mem_mm_bus_wr(5, c_nof_samples_in_packet-1, clk, reg_bg_ctrl_mosi);  -- Set the end address of the memory
108
    proc_mem_mm_bus_wr(6, c_bsn_init,                clk, reg_bg_ctrl_mosi);  -- Set the BSNInit low  value
109
    proc_mem_mm_bus_wr(7, 0,                         clk, reg_bg_ctrl_mosi);  -- Set the BSNInit high value
110
    proc_mem_mm_bus_wr(0, 1,                         clk, reg_bg_ctrl_mosi);  -- Enable the BG
111
 
112
    -- Run time
113
    proc_common_wait_some_cycles(clk, 300);
114
 
115
    proc_mem_mm_bus_wr(0, 0, clk, reg_bg_ctrl_mosi);  -- Disable the BG
116
 
117
    -- The end
118
    proc_common_wait_some_cycles(clk, c_nof_points + 20);
119
    tb_end <= '1';
120
    wait;
121
  end process;
122
 
123
  u_block_generator : entity diag_lib.mms_diag_block_gen
124
  generic map(
125
    g_nof_streams        => 1,
126
    g_buf_dat_w          => c_nof_complex*c_in_dat_w,
127
    g_buf_addr_w         => c_bg_addr_w,              -- Waveform buffer size 2**g_buf_addr_w nof samples
128
    g_file_name_prefix   => c_bg_prefix
129
  )
130
  port map(
131
   -- Clocks and reset
132
    mm_rst           => rst,
133
    mm_clk           => clk,
134
    dp_rst           => rst,
135
    dp_clk           => clk,
136
    en_sync          => '1',
137
    ram_bg_data_mosi => ram_bg_data_mosi,
138
    ram_bg_data_miso => open,
139
    reg_bg_ctrl_mosi => reg_bg_ctrl_mosi,
140
    reg_bg_ctrl_miso => open,
141
    out_siso_arr     => in_siso_arr,
142
    out_sosi_arr     => in_sosi_arr
143
  );
144
  in_siso_arr(0) <= c_dp_siso_rdy;
145
 
146
  -- device under test
147
  u_dut : entity work.fft_sepa
148
  port map (
149
    clk      => clk,
150
    rst      => rst,
151
    in_dat   => in_dat,
152
    in_val   => in_sosi_arr(0).valid,
153
    out_dat  => out_dat,
154
    out_val  => out_val
155
  );
156
 
157
  in_dat <= in_sosi_arr(0).im(c_in_dat_w-1 downto 0) & in_sosi_arr(0).re(c_in_dat_w-1 downto 0);
158
  out_dat_re <= out_dat(c_in_dat_w-1 downto 0);
159
  out_dat_im <= out_dat(2*c_in_dat_w-1 downto c_in_dat_w);
160
  out_sosi.re(c_in_dat_w-1 downto 0) <= out_dat(c_in_dat_w-1 downto 0);
161
  out_sosi.im(c_in_dat_w-1 downto 0) <= out_dat(2*c_in_dat_w-1 downto c_in_dat_w);
162
 
163
  -- verification
164
  p_verify : process
165
    variable I : integer;
166
    variable v_buf_output_a_re : t_input_buf_arr(c_nof_points/2-1 downto 0);
167
    variable v_buf_output_a_im : t_input_buf_arr(c_nof_points/2-1 downto 0);
168
    variable v_buf_output_b_re : t_input_buf_arr(c_nof_points/2-1 downto 0);
169
    variable v_buf_output_b_im : t_input_buf_arr(c_nof_points/2-1 downto 0);
170
 
171
  begin
172
    I := 0;
173
    wait until in_sosi_arr(0).sync = '1';
174
    while I < c_nof_points loop
175
      wait until (rising_edge(clk) and in_sosi_arr(0).valid = '1');
176
      buf_input_re(I) <= in_sosi_arr(0).re(c_in_dat_w-1 downto 0);
177
      buf_input_im(I) <= in_sosi_arr(0).im(c_in_dat_w-1 downto 0);
178
      I := I + 1;
179
    end loop;
180
    proc_common_wait_some_cycles(clk, 1);
181
    for J in 0 to c_nof_points/2-1 loop
182
      v_buf_output_a_re(J) := ADD_SVEC(buf_input_re(2*J+1), buf_input_re(2*J), c_in_dat_w+1)(c_in_dat_w downto 1);
183
      v_buf_output_a_im(J) := SUB_SVEC(buf_input_im(2*J), buf_input_im(2*J+1), c_in_dat_w+1)(c_in_dat_w downto 1);
184
      v_buf_output_b_re(J) := ADD_SVEC(buf_input_im(2*J+1), buf_input_im(2*J), c_in_dat_w+1)(c_in_dat_w downto 1);
185
      v_buf_output_b_im(J) := SUB_SVEC(buf_input_re(2*J+1), buf_input_re(2*J), c_in_dat_w+1)(c_in_dat_w downto 1);
186
      buf_output_re(2*J)   <= v_buf_output_a_re(J);
187
      buf_output_im(2*J)   <= v_buf_output_a_im(J);
188
      buf_output_re(2*J+1) <= v_buf_output_b_re(J);
189
      buf_output_im(2*J+1) <= v_buf_output_b_im(J);
190
    end loop;
191
    wait;
192
  end process;
193
 
194
  ------------------------------------------------------------------------  
195
  -- Simples process that does the final test.                     
196
  ------------------------------------------------------------------------ 
197
  p_tester : process(rst, clk)
198
    variable I : integer;
199
  begin
200
    if rst='0' then
201
      if rising_edge(clk) and out_val = '1' then
202
        assert buf_output_re(I) = out_dat_re report "Error: wrong RTL result in real path" severity ERROR;
203
        assert buf_output_im(I) = out_dat_im report "Error: wrong RTL result in imag path" severity ERROR;
204
        if(I = c_nof_points - 1 ) then
205
          I := 0;
206
        else
207
          I := I + 1;
208
        end if;
209
      end if;
210
    else
211
      I := 0;
212
    end if;
213
  end process p_tester;
214
 
215
end tb;
216
 
217
 
218
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.