OpenCores
URL https://opencores.org/ocsvn/async_sdm_noc/async_sdm_noc/trunk

Subversion Repositories async_sdm_noc

[/] [async_sdm_noc/] [branches/] [clos_opt/] [common/] [src/] [ppc.v] - Blame information for rev 67

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 65 wsong0210
/*
2
 Asynchronous SDM NoC
3
 (C)2011 Wei Song
4
 Advanced Processor Technologies Group
5
 Computer Science, the Univ. of Manchester, UK
6
 
7
 Authors:
8
 Wei Song     wsong83@gmail.com
9
 
10
 License: LGPL 3.0 or later
11
 
12
 Pipeline controller
13
 
14
 References
15
 See the STG and compiled verilog in sdm/stg/, ibctl.g and ibctl.v
16
 
17
 History:
18
 21/06/2009  Initial version. <wsong83@gmail.com>
19
 
20
*/
21
 
22
module ppc(/*AUTOARG*/
23
   // Outputs
24 67 wsong0210
   deca, dia,
25 65 wsong0210
   // Inputs
26 67 wsong0210
   eof, doa, dec
27 65 wsong0210
   );
28 67 wsong0210
   input              eof, doa, dec;
29
   output             deca;     // the ack to eof
30
   output             dia;      // the pipe stage input ack
31 65 wsong0210
 
32 66 wsong0210
   c2p CEoF (.q(deca), .a(doa), .b(eof));
33 67 wsong0210
   c2n CDIA (.q(dia), .a(eof|doa), .b(dec&(~deca)));
34 66 wsong0210
 
35 65 wsong0210
endmodule // ppc
36
 
37
 
38
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.