OpenCores
URL https://opencores.org/ocsvn/async_sdm_noc/async_sdm_noc/trunk

Subversion Repositories async_sdm_noc

[/] [async_sdm_noc/] [trunk/] [sdm/] [syn/] [script/] [source.tcl] - Blame information for rev 47

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 26 wsong0210
# Asynchronous SDM NoC
2
# (C)2011 Wei Song
3
# Advanced Processor Technologies Group
4
# Computer Science, the Univ. of Manchester, UK
5
# 
6
# Authors: 
7
# Wei Song     wsong83@gmail.com
8
# 
9
# License: LGPL 3.0 or later
10
# 
11
# Source files for the wormhole/SDM routers
12
# 
13
# History:
14
# 26/05/2011  Initial version. <wsong83@gmail.com>
15 47 wsong0210
# 02/06/2011  Use separated comp4 file. <wsong83@gmail.com>
16 26 wsong0210
 
17
# the common verilog source files between VC and SDM
18
analyze -format verilog   ../../common/src/cell_lib.v
19
analyze -format verilog   ../../common/src/ctree.v
20
analyze -format sverilog  ../../common/src/dcb.v
21
analyze -format sverilog  ../../common/src/dcb_xy.v
22
analyze -format sverilog  ../../common/src/dclos.v
23
analyze -format sverilog  ../../common/src/mnma.v
24
analyze -format sverilog  ../../common/src/mrma.v
25
analyze -format verilog   ../../common/src/mutex_arb.v
26
analyze -format sverilog  ../../common/src/pipe4.v
27
analyze -format sverilog  ../../common/src/rcb.v
28
analyze -format verilog   ../../common/src/tree_arb.v
29 47 wsong0210
analyze -format verilog   ../../common/src/comp4.v
30 26 wsong0210
 
31
# the private code of wormhole/SDM routers
32
analyze -format sverilog  ../src/clos_sch.v
33
analyze -format sverilog  ../src/cm_alloc.v
34
analyze -format sverilog  ../src/im_alloc.v
35
analyze -format sverilog  ../src/input_buf.v
36
analyze -format sverilog  ../src/output_buf.v
37
analyze -format sverilog  ../src/router.v
38
analyze -format sverilog  ../src/sdm_sch.v
39
analyze -format sverilog  ../src/subc_ctl.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.