OpenCores
URL https://opencores.org/ocsvn/ata/ata/trunk

Subversion Repositories ata

[/] [ata/] [trunk/] [bench/] [verilog/] [wb_slv_model.v] - Blame information for rev 33

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 rudi
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  WISHBONE Slave Model                                       ////
4
////                                                             ////
5
////                                                             ////
6
////  Author: Rudolf Usselmann                                   ////
7
////          rudi@asics.ws                                      ////
8
////                                                             ////
9
////                                                             ////
10
/////////////////////////////////////////////////////////////////////
11
////                                                             ////
12
//// Copyright (C) 2001 Rudolf Usselmann                         ////
13
////                    rudi@asics.ws                            ////
14
////                                                             ////
15
//// This source file may be used and distributed without        ////
16
//// restriction provided that this copyright statement is not   ////
17
//// removed from the file and that any derivative work contains ////
18
//// the original copyright notice and the associated disclaimer.////
19
////                                                             ////
20
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
21
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
22
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
23
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
24
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
25
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
26
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
27
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
28
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
29
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
30
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
31
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
32
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
33
////                                                             ////
34
/////////////////////////////////////////////////////////////////////
35
 
36
//  CVS Log
37
//
38
//  $Id: wb_slv_model.v,v 1.1 2001-08-16 10:01:05 rudi Exp $
39
//
40
//  $Date: 2001-08-16 10:01:05 $
41
//  $Revision: 1.1 $
42
//  $Author: rudi $
43
//  $Locker:  $
44
//  $State: Exp $
45
//
46
// Change History:
47
//               $Log: not supported by cvs2svn $
48
//
49
//
50
//
51
 
52
/* USAGE
53
 
54
wb_slv #(mem_addr_bus_width)
55
        <unit #>(clk, rst, adr, din, dout, cyc, stb, sel, we, ack, err, rty);
56
 
57
The parameter "mem_addr_bus_width" indicates the size of the memory by
58
specifiying the number of address lines to the memory.
59
 
60
task fill_mem(mode);
61
- This task initializes the internal memory.
62
  If mode is 0, the memory is initialized to  { ~address[15:0], address[15:0] };
63
  If mode is 1, the memory is initialized to random values.
64
 
65
 
66
The internal register "delay" specifies how fast ack is generated by the slave.
67
A value of 0 indicated immediatly, a value of 1 1 cycle delay etc.
68
It can be accesset by:
69
<wb_slv_unit_id>.delay = 5 bit value
70
 
71
*/
72
 
73
`include "wb_model_defines.v"
74
 
75
module wb_slv(clk, rst, adr, din, dout, cyc, stb, sel, we, ack, err, rty);
76
 
77
input           clk, rst;
78
input   [31:0]   adr, din;
79
output  [31:0]   dout;
80
input           cyc, stb;
81
input   [3:0]    sel;
82
input           we;
83
output          ack, err, rty;
84
 
85
////////////////////////////////////////////////////////////////////
86
//
87
// Local Wires
88
//
89
 
90
parameter       mem_size = 13;
91
parameter       sz = (1<<mem_size)-1;
92
 
93
reg     [31:0]   mem[sz:0];
94
wire            mem_re, mem_we;
95
wire    [31:0]   tmp;
96
reg     [31:0]   dout, tmp2;
97
 
98
reg             err, rty;
99
reg     [31:0]   del_ack;
100
reg     [5:0]    delay;
101
 
102
////////////////////////////////////////////////////////////////////
103
//
104
// Memory Logic
105
//
106
 
107
initial
108
   begin
109
        delay = 0;
110
        err = 0;
111
        rty = 0;
112
        #2;
113
        $display("\nINFO: WISHBONE MEMORY MODEL INSTANTIATED (%m)");
114
        $display("      Memory Size %0d address lines %0d words\n",
115
                mem_size, sz+1);
116
   end
117
 
118
assign mem_re = cyc & stb & !we;
119
assign mem_we = cyc & stb &  we;
120
 
121
assign  tmp = mem[adr[mem_size+1:2]];
122
 
123
always @(sel or tmp or mem_re or ack)
124
        if(mem_re & ack)
125
           begin
126
                dout[31:24] <= #1 sel[3] ? tmp[31:24] : 8'hxx;
127
                dout[23:16] <= #1 sel[2] ? tmp[23:16] : 8'hxx;
128
                dout[15:08] <= #1 sel[1] ? tmp[15:08] : 8'hxx;
129
                dout[07:00] <= #1 sel[0] ? tmp[07:00] : 8'hxx;
130
           end
131
        else    dout <= #1 32'hzzzz_zzzz;
132
 
133
 
134
always @(sel or tmp or din)
135
   begin
136
        tmp2[31:24] = !sel[3] ? tmp[31:24] : din[31:24];
137
        tmp2[23:16] = !sel[2] ? tmp[23:16] : din[23:16];
138
        tmp2[15:08] = !sel[1] ? tmp[15:08] : din[15:08];
139
        tmp2[07:00] = !sel[0] ? tmp[07:00] : din[07:00];
140
   end
141
 
142
always @(posedge clk)
143
        if(mem_we)      mem[adr[mem_size+1:2]] <= #1 tmp2;
144
 
145
always @(posedge clk)
146
        del_ack = ack ? 0 : {del_ack[30:0], (mem_re | mem_we)};
147
 
148
assign  #3 ack = cyc & ((delay==0) ? (mem_re | mem_we) : del_ack[delay-1]);
149
 
150
task fill_mem;
151
input           mode;
152
 
153
integer         n, mode;
154
 
155
begin
156
 
157
for(n=0;n<(sz+1);n=n+1)
158
   begin
159
        case(mode)
160
           0:    mem[n] = { ~n[15:0], n[15:0] };
161
           1:   mem[n] = $random;
162
        endcase
163
   end
164
 
165
end
166
endtask
167
 
168
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.