OpenCores
URL https://opencores.org/ocsvn/ata/ata/trunk

Subversion Repositories ata

[/] [ata/] [trunk/] [sim/] [rtl_sim/] [bin/] [Makefile] - Blame information for rev 35

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 rudi
 
2
all:    sim
3
SHELL = /bin/sh
4
MS="-s"
5
 
6
##########################################################################
7
#
8
# DUT Sources
9
#
10
##########################################################################
11
DUT_SRC_DIR=../../../rtl/verilog
12
_TARGETS_=      $(DUT_SRC_DIR)/ocidec-1/atahost_controller.v    \
13
                $(DUT_SRC_DIR)/ocidec-1/atahost_top.v           \
14
                $(DUT_SRC_DIR)/ocidec-1/atahost_pio_tctrl.v     \
15
                $(DUT_SRC_DIR)/ocidec-1/ro_cnt.v                \
16
                $(DUT_SRC_DIR)/ocidec-1/ud_cnt.v
17
 
18
##########################################################################
19
#
20
# Test Bench Sources
21
#
22
##########################################################################
23
_TOP_=test
24
TB_SRC_DIR=../../../bench/verilog
25
_TB_=           $(TB_SRC_DIR)/test_bench_top.v             \
26
                $(TB_SRC_DIR)/ata_device.v                 \
27
                $(TB_SRC_DIR)/wb_mast_model.v
28
 
29
##########################################################################
30
#
31
# Misc Variables
32
#
33
##########################################################################
34
 
35
INCDIR="-INCDIR ./$(DUT_SRC_DIR)/ocidec-1/ -INCDIR ./$(TB_SRC_DIR)/"
36
LOGF=-LOGFILE .nclog
37
NCCOMMON=-CDSLIB ncwork/cds.lib -HDLVAR ncwork/hdl.var -NOCOPYRIGHT
38
 
39
##########################################################################
40
#
41
# Make Targets
42
#
43
##########################################################################
44
simw:
45
        @$(MAKE) -s sim ACCESS="-ACCESS +r " WAVES="-DEFINE WAVES"
46
 
47
ss:
48
        signalscan -do waves/waves.do -waves waves/waves.trn &
49
 
50
simxl:
51
        verilog +incdir+$(DUT_SRC_DIR) +incdir+$(TB_SRC_DIR)    \
52
        $(_TARGETS_) $(_TB_)
53
 
54
sim:
55
        @echo ""
56
        @echo "----- Running NCVLOG ... ----------"
57
        @$(MAKE) $(MS) vlog                             \
58
                TARGETS="$(_TARGETS_)"                  \
59
                TB="$(_TB_)"                            \
60
                INCDIR=$(INCDIR)                        \
61
                WAVES="$(WAVES)"
62
        @echo ""
63
        @echo "----- Running NCELAB ... ----------"
64
        @$(MAKE) $(MS) elab                             \
65
                ACCESS="$(ACCESS)" TOP=$(_TOP_)
66
        @echo ""
67
        @echo "----- Running NCSIM ... ----------"
68
        @$(MAKE) $(MS) ncsim TOP=$(_TOP_)
69
        @echo ""
70
 
71
hal:
72
        @echo ""
73
        @echo "----- Running HAL ... ----------"
74
        @hal    +incdir+$(DUT_SRC_DIR)/ocidec-1/                \
75
                -NOP -NOS -nocheck STYVAL:USEPRT:NOBLKN:DLNBLK  \
76
                $(_TARGETS_)
77
        @echo "----- DONE ... ----------"
78
 
79
clean:
80
        rm -rf  ./waves/*.dsn ./waves/*.trn             \
81
                ncwork/.inc* ncwork/inc*                \
82
                ./verilog.* .nclog hal.log
83
 
84
##########################################################################
85
#
86
# NCVLOG
87
#
88
##########################################################################
89
 
90
vhdl:
91
        ncvhdl $(NCCOMMON) $(LOGF) -APPEND_LOG                  \
92
                -WORK count -V93 hdl/counter.vhd
93
        ncvhdl $(NCCOMMON) $(LOGF) -APPEND_LOG                  \
94
                -WORK work -V93 $(TARGETS)
95
 
96
vlog:
97
        ncvlog $(NCCOMMON) $(LOGF)                              \
98
                -WORK work $(WAVES) $(TB) $(TARGETS) $(INCDIR)
99
 
100
##########################################################################
101
#
102
# NCELAB
103
#
104
##########################################################################
105
 
106
elab:
107
        ncelab  $(NCCOMMON) $(LOGF) -APPEND_LOG                 \
108
                -WORK work $(ACCESS) -NOTIMINGCHECKS            \
109
                work.$(TOP)
110
 
111
##########################################################################
112
#
113
# NCSIM
114
#
115
##########################################################################
116
 
117
ncsim:
118
        ncsim   $(NCCOMMON) $(LOGF) -APPEND_LOG                 \
119
                -EXIT -ERRORMAX 10 work.$(TOP)
120
 
121
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.