OpenCores
URL https://opencores.org/ocsvn/avr_hp/avr_hp/trunk

Subversion Repositories avr_hp

[/] [avr_hp/] [trunk/] [rtl/] [rtl_v5_cm2/] [io_adr_dec.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobil
--************************************************************************************************
2
-- Internal I/O registers decoder/multiplexer for the AVR core
3
-- Version 1.11
4
-- Modified 05.06.2003
5
-- Designed by Ruslan Lepetenok
6
--************************************************************************************************
7
 
8
library IEEE;
9
use IEEE.std_logic_1164.all;
10
 
11
use WORK.AVRuCPackage.all;
12
 
13
entity io_adr_dec_cm2 is port (
14
                cp2_cml_1 : in std_logic;
15
 
16
          adr          : in std_logic_vector(5 downto 0);
17
          iore         : in std_logic;
18
          dbusin_ext   : in std_logic_vector(7 downto 0);
19
          dbusin_int   : out std_logic_vector(7 downto 0);
20
 
21
          spl_out      : in std_logic_vector(7 downto 0);
22
          sph_out      : in std_logic_vector(7 downto 0);
23
          sreg_out     : in std_logic_vector(7 downto 0);
24
          rampz_out    : in std_logic_vector(7 downto 0));
25
end io_adr_dec_cm2;
26
 
27
architecture RTL of io_adr_dec_cm2 is
28
 
29
signal dbusin_int_cml_out :  std_logic_vector ( 7 downto 0 );
30
signal dbusin_ext_cml_1 :  std_logic_vector ( 7 downto 0 );
31
signal sreg_out_cml_1 :  std_logic_vector ( 7 downto 0 );
32
 
33
begin
34
 
35
 
36
 
37
process(cp2_cml_1) begin
38
if (cp2_cml_1 = '1' and cp2_cml_1'event) then
39
        dbusin_ext_cml_1 <= dbusin_ext;
40
        sreg_out_cml_1 <= sreg_out;
41
end if;
42
end process;
43
dbusin_int <= dbusin_int_cml_out;
44
 
45
 
46
-- SynEDA CoreMultiplier
47
-- assignment(s): dbusin_int
48
-- replace(s): dbusin_ext, sreg_out
49
 
50
dbusin_int_cml_out <= spl_out   when (adr=SPL_Address  and iore='1') else
51
              sph_out  when  (adr=SPH_Address  and iore='1') else
52
              sreg_out_cml_1 when  (adr=SREG_Address  and iore='1') else
53
              rampz_out when (adr=RAMPZ_Address and iore='1') else
54
              dbusin_ext_cml_1;
55
 
56
end RTL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.