OpenCores
URL https://opencores.org/ocsvn/ax8/ax8/trunk

Subversion Repositories ax8

[/] [ax8/] [trunk/] [bench/] [vhdl/] [TestBench1200.vhd] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 jesus
library IEEE;
2
use IEEE.std_logic_1164.all;
3
use work.StimLog.all;
4
 
5
entity TestBench1200 is
6
end TestBench1200;
7
 
8
architecture behaviour of TestBench1200 is
9
 
10
        signal Clk              : std_logic := '0';
11
        signal Reset_n  : std_logic := '0';
12
        signal Port_B   : std_logic_vector(7 downto 0);
13
        signal Port_D   : std_logic_vector(7 downto 0);
14
 
15
begin
16
 
17
        p1 : entity work.A90S1200 port map (Clk, Reset_n, '1', '1', Port_B, Port_D);
18
 
19
        as : AsyncStim generic map(FileName => "AX8.vhd", InterCharDelay => 200 us, Baud => 115200, Bits => 8)
20
                                port map(Port_D(0));
21
 
22
        al : AsyncLog generic map(FileName => "RX_Log.txt", Baud => 115200, Bits => 8)
23
                                port map(Port_D(1));
24
 
25
        Clk <= not Clk after 50 ns;
26
        Reset_n <= '1' after 200 ns;
27
 
28
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.