OpenCores
URL https://opencores.org/ocsvn/axi_master/axi_master/trunk

Subversion Repositories axi_master

[/] [axi_master/] [trunk/] [src/] [base/] [axi_master.v] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 eyalhoc
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  Author: Eyal Hochberg                                      ////
4
////          eyal@provartec.com                                 ////
5
////                                                             ////
6
////  Downloaded from: http://www.opencores.org                  ////
7
/////////////////////////////////////////////////////////////////////
8
////                                                             ////
9
//// Copyright (C) 2010 Provartec LTD                            ////
10
//// www.provartec.com                                           ////
11
//// info@provartec.com                                          ////
12
////                                                             ////
13
//// This source file may be used and distributed without        ////
14
//// restriction provided that this copyright statement is not   ////
15
//// removed from the file and that any derivative work contains ////
16
//// the original copyright notice and the associated disclaimer.////
17
////                                                             ////
18
//// This source file is free software; you can redistribute it  ////
19
//// and/or modify it under the terms of the GNU Lesser General  ////
20
//// Public License as published by the Free Software Foundation.////
21
////                                                             ////
22
//// This source is distributed in the hope that it will be      ////
23
//// useful, but WITHOUT ANY WARRANTY; without even the implied  ////
24
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR     ////
25
//// PURPOSE.  See the GNU Lesser General Public License for more////
26
//// details. http://www.gnu.org/licenses/lgpl.html              ////
27
////                                                             ////
28
/////////////////////////////////////////////////////////////////////
29 6 eyalhoc
 
30
//////////////////////////////////////
31
//
32
// General:
33
//   The AXI master has an internal master per ID. 
34
//   These internal masters work simultaniously and an interconnect matrix connets them. 
35
// 
36
//
37
// I/F :
38
//   idle - all internal masters emptied their command FIFOs
39
//   scrbrd_empty - all scoreboard checks have been completed (for random testing)
40
//
41
//
42
// Tasks:
43
//
44
// enable(input master_num)
45
//   Description: Enables master
46
//   Parameters: master_num - number of internal master
47
//
48
// enable_all()  
49
//   Description: Enables all masters
50
//
51
// write_single(input master_num, input addr, input wdata)
52
//   Description: write a single AXI burst (1 data cycle)
53
//   Parameters: master_num - number of internal master
54
//           addr  - address
55
//           wdata - write data
56
// 
57
// read_single(input master_num, input addr, output rdata)
58
//   Description: read a single AXI burst (1 data cycle)
59
//   Parameters: master_num - number of internal master
60
//               addr  - address
61
//               rdata - return read data
62
//
63
// check_single(input master_num, input addr, input expected)
64
//   Description: read a single AXI burst and gives an error if the data read does not match expected
65
//   Parameters: master_num - number of internal master
66
//               addr  - address
67
//               expected - expected read data
68
//
69
// write_and_check_single(input master_num, input addr, input data)
70
//   Description: write a single AXI burst read it back and compare the write and read data
71
//   Parameters: master_num - number of internal master
72
//               addr  - address
73
//               data - data to write and expect on read
74
//
75
// insert_wr_cmd(input master_num, input addr, input len, input size)
76
//   Description: add an AXI write burst to command FIFO
77
//   Parameters: master_num - number of internal master
78
//               addr - address
79
//               len - AXI LEN (data strobe number)
80
//               size - AXI SIZE (data width)
81
//  
82
// insert_rd_cmd(input master_num, input addr, input len, input size)
83
//   Description: add an AXI read burst to command FIFO
84
//   Parameters: master_num - number of internal master
85
//               addr - address
86
//               len - AXI LEN (data strobe number)
87
//               size - AXI SIZE (data width)
88
//  
89
// insert_wr_data(input master_num, input wdata)
90
//   Description: add a single data to data FIFO (to be used in write bursts)
91
//   Parameters: master_num - number of internal master
92
//               wdata - write data
93
//  
94
// insert_wr_incr_data(input master_num, input addr, input len, input size)
95
//   Description: add an AXI write burst to command FIFO will use incremental data (no need to use insert_wr_data)
96
//   Parameters: master_num - number of internal master
97
//               addr - address
98
//               len - AXI LEN (data strobe number)
99
//               size - AXI SIZE (data width)
100
//  
101
// insert_rand_chk(input master_num, input burst_num)
102
//   Description: add multiple commands to command FIFO. Each command writes incremental data to a random address, reads the data back and checks the data. Useful for random testing.
103
//   Parameters: master_num - number of internal master
104
//               burst_num - total number of bursts to check
105
//  
106 9 eyalhoc
// insert_rand(input burst_num)
107
//   Description: disperces burst_num between internal masters and calls insert_rand_chk for each master
108
//   Parameters:  burst_num - total number of bursts to check (combined)
109
//
110 6 eyalhoc
//  
111
//  Parameters:
112
//  
113
//    For random testing: (changing these values automatically update interanl masters)
114
//      len_min  - minimum burst AXI LEN (length)
115
//      len_max  - maximum burst AXI LEN (length)
116
//      size_min - minimum burst AXI SIZE (width)
117
//      size_max - maximum burst AXI SIZE (width)
118
//      addr_min - minimum address (in bytes)
119
//      addr_max - maximum address (in bytes)
120
//  
121
//////////////////////////////////////
122
 
123
OUTFILE PREFIX.v
124
 
125
INCLUDE def_axi_master.txt
126
 
127
 
128
ITER IX ID_NUM
129
module PREFIX(PORTS);
130
 
131 9 eyalhoc
`include "prgen_rand.v"
132
 
133 6 eyalhoc
   input                               clk;
134
   input                               reset;
135
 
136
   port                                GROUP_STUB_AXI;
137
 
138
   output                              idle;
139
   output                              scrbrd_empty;
140
 
141
 
142
   //random parameters
143
   integer                             GROUP_AXI_MASTER_RAND = GROUP_AXI_MASTER_RAND.DEFAULT;
144
 
145
   wire                                GROUP_STUB_AXI_IX;
146
   wire                                idle_IX;
147
   wire                                scrbrd_empty_IX;
148
 
149
 
150
   always @(*)
151
     begin
152
        #FFD;
153
        PREFIX_singleIX.GROUP_AXI_MASTER_RAND = GROUP_AXI_MASTER_RAND;
154
     end
155
 
156
   assign                              idle = CONCAT(idle_IX &);
157
   assign                              scrbrd_empty = CONCAT(scrbrd_empty_IX &);
158
 
159
 
160
   CREATE axi_master_single.v
161
 
162
     LOOP IX ID_NUM
163
   PREFIX_single #(IX, IDIX_VAL, CMD_DEPTH)
164
   PREFIX_singleIX(
165
                   .clk(clk),
166
                   .reset(reset),
167
                   .GROUP_STUB_AXI(GROUP_STUB_AXI_IX),
168
                   .idle(idle_IX),
169
                   .scrbrd_empty(scrbrd_empty_IX)
170
                   );
171 9 eyalhoc
 
172 6 eyalhoc
   ENDLOOP IX
173
 
174
     IFDEF TRUE(ID_NUM==1)
175
 
176
   assign GROUP_STUB_AXI.OUT = GROUP_STUB_AXI_0.OUT;
177
   assign GROUP_STUB_AXI_0.IN = GROUP_STUB_AXI.IN;
178
 
179
     ELSE TRUE(ID_NUM==1)
180
 
181 9 eyalhoc
   CREATE ic.v \\
182
DEFCMD(SWAP.GLOBAL PARENT PREFIX) \\
183
DEFCMD(SWAP.GLOBAL MASTER_NUM ID_NUM) \\
184
DEFCMD(SWAP.GLOBAL SLAVE_NUM 1) \\
185
DEFCMD(SWAP.GLOBAL CONST(ID_BITS) ID_BITS) \\
186
DEFCMD(SWAP.GLOBAL CONST(CMD_DEPTH) CMD_DEPTH) \\
187
DEFCMD(SWAP.GLOBAL CONST(DATA_BITS) DATA_BITS) \\
188
DEFCMD(SWAP.GLOBAL CONST(ADDR_BITS) ADDR_BITS)
189 6 eyalhoc
   LOOP IX ID_NUM
190
     STOMP NEWLINE
191 9 eyalhoc
     DEFCMD(LOOP.GLOBAL MIX_IDX 1) \\
192 6 eyalhoc
     DEFCMD(SWAP.GLOBAL ID_MIX_ID0 IDIX_VAL)
193
   ENDLOOP IX
194
 
195
    PREFIX_ic PREFIX_ic(
196
                       .clk(clk),
197
                       .reset(reset),
198
                       .MIX_GROUP_STUB_AXI(GROUP_STUB_AXI_IX),
199
                       .S0_GROUP_STUB_AXI(GROUP_STUB_AXI),
200
                       STOMP ,
201
 
202
      );
203
 
204
     ENDIF TRUE(ID_NUM==1)
205
 
206
 
207
 
208
   task check_master_num;
209
      input [24*8-1:0] task_name;
210
      input [31:0] master_num;
211
      begin
212
         if (master_num >= ID_NUM)
213
           begin
214
              $display("FATAL ERROR: task %0s called for master %0d that does not exist.\tTime: %0d ns.", task_name, master_num, $time);
215
           end
216
      end
217
   endtask
218
 
219
   task enable;
220
      input [31:0] master_num;
221
      begin
222
         check_master_num("enable", master_num);
223
         case (master_num)
224
           IX : PREFIX_singleIX.enable = 1;
225
         endcase
226
      end
227
   endtask
228
 
229
   task enable_all;
230
      begin
231
         PREFIX_singleIX.enable = 1;
232
      end
233
   endtask
234
 
235
   task write_single;
236
      input [31:0] master_num;
237
      input [ADDR_BITS-1:0]  addr;
238
      input [DATA_BITS-1:0]  wdata;
239
      begin
240
         check_master_num("write_single", master_num);
241
         case (master_num)
242
           IX : PREFIX_singleIX.write_single(addr, wdata);
243
         endcase
244
      end
245
   endtask
246
 
247
   task read_single;
248
      input [31:0] master_num;
249
      input [ADDR_BITS-1:0]  addr;
250
      output [DATA_BITS-1:0]  rdata;
251
      begin
252
         check_master_num("read_single", master_num);
253
         case (master_num)
254
           IX : PREFIX_singleIX.read_single(addr, rdata);
255
         endcase
256
      end
257
   endtask
258
 
259
   task check_single;
260
      input [31:0] master_num;
261
      input [ADDR_BITS-1:0]  addr;
262
      input [DATA_BITS-1:0]  expected;
263
      begin
264
         check_master_num("check_single", master_num);
265
         case (master_num)
266
           IX : PREFIX_singleIX.check_single(addr, expected);
267
         endcase
268
      end
269
   endtask
270
 
271
   task write_and_check_single;
272
      input [31:0] master_num;
273
      input [ADDR_BITS-1:0]  addr;
274
      input [DATA_BITS-1:0]  data;
275
      begin
276
         check_master_num("write_and_check_single", master_num);
277
         case (master_num)
278
           IX : PREFIX_singleIX.write_and_check_single(addr, data);
279
         endcase
280
      end
281
   endtask
282
 
283
   task insert_wr_cmd;
284
      input [31:0] master_num;
285
      input [ADDR_BITS-1:0]  addr;
286
      input [LEN_BITS-1:0]   len;
287
      input [SIZE_BITS-1:0]  size;
288
      begin
289
         check_master_num("insert_wr_cmd", master_num);
290
         case (master_num)
291
           IX : PREFIX_singleIX.insert_wr_cmd(addr, len, size);
292
         endcase
293
      end
294
   endtask
295
 
296
   task insert_rd_cmd;
297
      input [31:0] master_num;
298
      input [ADDR_BITS-1:0]  addr;
299
      input [LEN_BITS-1:0]   len;
300
      input [SIZE_BITS-1:0]  size;
301
      begin
302
         check_master_num("insert_rd_cmd", master_num);
303
         case (master_num)
304
           IX : PREFIX_singleIX.insert_rd_cmd(addr, len, size);
305
         endcase
306
      end
307
   endtask
308
 
309
   task insert_wr_data;
310
      input [31:0] master_num;
311
      input [DATA_BITS-1:0]  wdata;
312
      begin
313
         check_master_num("insert_wr_data", master_num);
314
         case (master_num)
315
           IX : PREFIX_singleIX.insert_wr_data(wdata);
316
         endcase
317
      end
318
   endtask
319
 
320
   task insert_wr_incr_data;
321
      input [31:0] master_num;
322
      input [ADDR_BITS-1:0]  addr;
323
      input [LEN_BITS-1:0]   len;
324
      input [SIZE_BITS-1:0]  size;
325
      begin
326
         check_master_num("insert_wr_incr_data", master_num);
327
         case (master_num)
328
           IX : PREFIX_singleIX.insert_wr_incr_data(addr, len, size);
329
         endcase
330
      end
331
   endtask
332
 
333
   task insert_rand_chk;
334
      input [31:0] master_num;
335
      input [31:0] burst_num;
336
      begin
337
         check_master_num("insert_rand_chk", master_num);
338
         case (master_num)
339
           IX : PREFIX_singleIX.insert_rand_chk(burst_num);
340
         endcase
341
      end
342
   endtask
343
 
344 9 eyalhoc
   task insert_rand;
345
      input [31:0] burst_num;
346
 
347
      ITER IDX ID_NUM
348
      reg [31:0] burst_numIDX;
349
      integer remain;
350
      begin
351
         remain = burst_num;
352
         LOOP IDX ID_NUM
353
         if (remain > 0)
354
           begin
355
              burst_numIDX = rand(1, remain);
356
              remain = remain - burst_numIDX;
357
              insert_rand_chk(IDX, burst_numIDX);
358
           end
359
         ENDLOOP IDX
360
      end
361
   endtask
362 6 eyalhoc
 
363
 
364
endmodule
365
 
366
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.