OpenCores
URL https://opencores.org/ocsvn/axi_vga/axi_vga/trunk

Subversion Repositories axi_vga

[/] [axi_vga/] [trunk/] [sim/] [testbench.v] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 hugoliu
`timescale 1ns/10ps
2
/*
3
Author: HugoLiu
4
E-mail: liu_xinghou@yahoo.com.tw
5
 
6
*/
7
module testbench;
8
reg pixel_clk;
9
initial begin
10
    pixel_clk <= 0;
11
end
12
always #15 pixel_clk <= ~pixel_clk;
13
reg FCLK_CLK0, FCLK_RESET0_N;
14
initial begin
15
    FCLK_CLK0 <= 0;
16
    FCLK_RESET0_N = 1;
17
  #14  FCLK_RESET0_N = 0;
18
  #32 FCLK_RESET0_N = 1;
19
 
20
end
21
always #5 FCLK_CLK0 <= ~FCLK_CLK0;
22
 
23
  //HP0  
24
  wire [31:0]S_AXI_HP0_araddr;
25
  wire [1:0]S_AXI_HP0_arburst;
26
  wire [3:0]S_AXI_HP0_arcache;
27
  wire [5:0]S_AXI_HP0_arid;
28
  wire [3:0]S_AXI_HP0_arlen;
29
  wire [1:0]S_AXI_HP0_arlock;
30
  wire [2:0]S_AXI_HP0_arprot;
31
  wire [3:0]S_AXI_HP0_arqos;
32
  wire S_AXI_HP0_arready;
33
  wire [2:0]S_AXI_HP0_arsize;
34
  wire S_AXI_HP0_arvalid;
35
  wire [31:0]S_AXI_HP0_awaddr;
36
  wire [1:0]S_AXI_HP0_awburst;
37
  wire [3:0]S_AXI_HP0_awcache;
38
  wire [5:0]S_AXI_HP0_awid;
39
  wire [3:0]S_AXI_HP0_awlen;
40
  wire [1:0]S_AXI_HP0_awlock;
41
  wire [2:0]S_AXI_HP0_awprot;
42
  wire [3:0]S_AXI_HP0_awqos;
43
  wire S_AXI_HP0_awready;
44
  wire [2:0]S_AXI_HP0_awsize;
45
  wire S_AXI_HP0_awvalid;
46
  wire [5:0]S_AXI_HP0_bid;
47
  wire S_AXI_HP0_bready;
48
  wire [1:0]S_AXI_HP0_bresp;
49
  wire S_AXI_HP0_bvalid;
50
  wire [63:0]S_AXI_HP0_rdata;
51
  wire [5:0]S_AXI_HP0_rid;
52
  wire S_AXI_HP0_rlast;
53
  wire S_AXI_HP0_rready;
54
  wire [1:0]S_AXI_HP0_rresp;
55
  wire S_AXI_HP0_rvalid;
56
  wire [63:0]S_AXI_HP0_wdata;
57
  wire [5:0]S_AXI_HP0_wid;
58
  wire S_AXI_HP0_wlast;
59
  wire S_AXI_HP0_wready;
60
  wire [7:0]S_AXI_HP0_wstrb;
61
  wire S_AXI_HP0_wvalid;
62
  //HP1 Read
63
  wire [31:0]S_AXI_HP1_araddr;
64
  wire [1:0]S_AXI_HP1_arburst;
65
  wire [3:0]S_AXI_HP1_arcache;
66
  wire [5:0]S_AXI_HP1_arid;
67
  wire [3:0]S_AXI_HP1_arlen;
68
  wire [1:0]S_AXI_HP1_arlock;
69
  wire [2:0]S_AXI_HP1_arprot;
70
  wire [3:0]S_AXI_HP1_arqos;
71
  wire S_AXI_HP1_arready;
72
  wire [2:0]S_AXI_HP1_arsize;
73
  wire S_AXI_HP1_arvalid;
74
  wire [63:0]S_AXI_HP1_rdata;
75
  wire [5:0]S_AXI_HP1_rid;
76
  wire S_AXI_HP1_rlast;
77
  wire S_AXI_HP1_rready;
78
  wire [1:0]S_AXI_HP1_rresp;
79
  wire S_AXI_HP1_rvalid;
80
  //HP1 Write
81
  wire [31:0]S_AXI_HP1_awaddr;
82
  wire [1:0] S_AXI_HP1_awburst;
83
  wire [3:0] S_AXI_HP1_awcache;
84
  wire [5:0] S_AXI_HP1_awid;
85
  wire [3:0] S_AXI_HP1_awlen;
86
  wire [1:0] S_AXI_HP1_awlock;
87
  wire [2:0] S_AXI_HP1_awprot;
88
  wire [3:0] S_AXI_HP1_awqos;
89
  wire       S_AXI_HP1_awready;
90
  wire [2:0] S_AXI_HP1_awsize;
91
  wire       S_AXI_HP1_awvalid;
92
  wire [5:0] S_AXI_HP1_bid;
93
  wire       S_AXI_HP1_bready;
94
  wire [1:0] S_AXI_HP1_bresp;
95
  wire       S_AXI_HP1_bvalid;
96
  wire [63:0]S_AXI_HP1_wdata;
97
  wire [5:0] S_AXI_HP1_wid;
98
  wire       S_AXI_HP1_wlast;
99
  wire       S_AXI_HP1_wready;
100
  wire [7:0] S_AXI_HP1_wstrb;
101
  wire       S_AXI_HP1_wvalid;
102
  //HP1_1 Write
103
  wire [31:0]S_AXI_HP1_1_awaddr;
104
  wire [1:0] S_AXI_HP1_1_awburst;
105
  wire [3:0] S_AXI_HP1_1_awcache;
106
  wire [5:0] S_AXI_HP1_1_awid;
107
  wire [3:0] S_AXI_HP1_1_awlen;
108
  wire [1:0] S_AXI_HP1_1_awlock;
109
  wire [2:0] S_AXI_HP1_1_awprot;
110
  wire [3:0] S_AXI_HP1_1_awqos;
111
  wire       S_AXI_HP1_1_awready;
112
  wire [2:0] S_AXI_HP1_1_awsize;
113
  wire       S_AXI_HP1_1_awvalid;
114
  wire [5:0] S_AXI_HP1_1_bid;
115
  wire       S_AXI_HP1_1_bready;
116
  wire [1:0] S_AXI_HP1_1_bresp;
117
  wire       S_AXI_HP1_1_bvalid;
118
  wire [63:0]S_AXI_HP1_1_wdata;
119
  wire [5:0] S_AXI_HP1_1_wid;
120
  wire       S_AXI_HP1_1_wlast;
121
  wire       S_AXI_HP1_1_wready;
122
  wire [7:0] S_AXI_HP1_1_wstrb;
123
  wire       S_AXI_HP1_1_wvalid;
124
 
125
HP_slave u_HP(
126
    .S_AXI_ARESETN      (FCLK_RESET0_N),
127
    .S_AXI_ACLK         (FCLK_CLK0),
128
 
129
//Slave port    
130
        .S_AXI_HP_araddr (S_AXI_HP0_araddr),
131
        .S_AXI_HP_arburst(S_AXI_HP0_arburst),
132
        .S_AXI_HP_arcache(S_AXI_HP0_arcache),
133
        .S_AXI_HP_arid(S_AXI_HP0_arid),
134
        .S_AXI_HP_arlen(S_AXI_HP0_arlen),
135
        .S_AXI_HP_arlock(S_AXI_HP0_arlock),
136
        .S_AXI_HP_arprot(S_AXI_HP0_arprot),
137
        .S_AXI_HP_arqos(S_AXI_HP0_arqos),
138
        .S_AXI_HP_arready(S_AXI_HP0_arready),
139
        .S_AXI_HP_arsize(S_AXI_HP0_arsize),
140
        .S_AXI_HP_arvalid(S_AXI_HP0_arvalid),
141
        .S_AXI_HP_awaddr(S_AXI_HP0_awaddr),
142
        .S_AXI_HP_awburst(S_AXI_HP0_awburst),
143
        .S_AXI_HP_awcache(S_AXI_HP0_awcache),
144
        .S_AXI_HP_awid(S_AXI_HP0_awid),
145
        .S_AXI_HP_awlen(S_AXI_HP0_awlen),
146
        .S_AXI_HP_awlock(S_AXI_HP0_awlock),
147
        .S_AXI_HP_awprot(S_AXI_HP0_awprot),
148
        .S_AXI_HP_awqos(S_AXI_HP0_awqos),
149
        .S_AXI_HP_awready(S_AXI_HP0_awready),
150
        .S_AXI_HP_awsize(S_AXI_HP0_awsize),
151
        .S_AXI_HP_awvalid(S_AXI_HP0_awvalid),
152
        .S_AXI_HP_bid(S_AXI_HP0_bid),
153
        .S_AXI_HP_bready(S_AXI_HP0_bready),
154
        .S_AXI_HP_bresp(S_AXI_HP0_bresp),
155
        .S_AXI_HP_bvalid(S_AXI_HP0_bvalid),
156
        .S_AXI_HP_rdata(S_AXI_HP0_rdata),
157
        .S_AXI_HP_rid(S_AXI_HP0_rid),
158
        .S_AXI_HP_rlast(S_AXI_HP0_rlast),
159
        .S_AXI_HP_rready(S_AXI_HP0_rready),
160
        .S_AXI_HP_rresp(S_AXI_HP0_rresp),
161
        .S_AXI_HP_rvalid(S_AXI_HP0_rvalid),
162
        .S_AXI_HP_wdata(S_AXI_HP0_wdata),
163
        .S_AXI_HP_wid(S_AXI_HP0_wid),
164
        .S_AXI_HP_wlast(S_AXI_HP0_wlast),
165
        .S_AXI_HP_wready(S_AXI_HP0_wready),
166
        .S_AXI_HP_wstrb(S_AXI_HP0_wstrb),
167
        .S_AXI_HP_wvalid(S_AXI_HP0_wvalid),
168
        .S_AXI_HP1_araddr(S_AXI_HP1_araddr),
169
        .S_AXI_HP1_arburst(S_AXI_HP1_arburst),
170
        .S_AXI_HP1_arcache(S_AXI_HP1_arcache),
171
        .S_AXI_HP1_arid(S_AXI_HP1_arid),
172
        .S_AXI_HP1_arlen(S_AXI_HP1_arlen),
173
        .S_AXI_HP1_arlock(S_AXI_HP1_arlock),
174
        .S_AXI_HP1_arprot(S_AXI_HP1_arprot),
175
        .S_AXI_HP1_arqos(S_AXI_HP1_arqos),
176
        .S_AXI_HP1_arready(S_AXI_HP1_arready),
177
        .S_AXI_HP1_arsize(S_AXI_HP1_arsize),
178
        .S_AXI_HP1_arvalid(S_AXI_HP1_arvalid),
179
        .S_AXI_HP1_awaddr(S_AXI_HP1_awaddr),
180
        .S_AXI_HP1_awburst(S_AXI_HP1_awburst),
181
        .S_AXI_HP1_awcache(S_AXI_HP1_awcache),
182
        .S_AXI_HP1_awid(S_AXI_HP1_awid),
183
        .S_AXI_HP1_awlen(S_AXI_HP1_awlen),
184
        .S_AXI_HP1_awlock(S_AXI_HP1_awlock),
185
        .S_AXI_HP1_awprot(S_AXI_HP1_awprot),
186
        .S_AXI_HP1_awqos(S_AXI_HP1_awqos),
187
        .S_AXI_HP1_awready(S_AXI_HP1_awready),
188
        .S_AXI_HP1_awsize(S_AXI_HP1_awsize),
189
        .S_AXI_HP1_awvalid(S_AXI_HP1_awvalid),
190
        .S_AXI_HP1_bid(S_AXI_HP1_bid),
191
        .S_AXI_HP1_bready(S_AXI_HP1_bready),
192
        .S_AXI_HP1_bresp(S_AXI_HP1_bresp),
193
        .S_AXI_HP1_bvalid(S_AXI_HP1_bvalid),
194
        .S_AXI_HP1_rdata(S_AXI_HP1_rdata),
195
        .S_AXI_HP1_rid(S_AXI_HP1_rid),
196
        .S_AXI_HP1_rlast(S_AXI_HP1_rlast),
197
        .S_AXI_HP1_rready(S_AXI_HP1_rready),
198
        .S_AXI_HP1_rresp(S_AXI_HP1_rresp),
199
        .S_AXI_HP1_rvalid(S_AXI_HP1_rvalid),
200
        .S_AXI_HP1_wdata(S_AXI_HP1_wdata),
201
        .S_AXI_HP1_wid(S_AXI_HP1_wid),
202
        .S_AXI_HP1_wlast(S_AXI_HP1_wlast),
203
        .S_AXI_HP1_wready(S_AXI_HP1_wready),
204
        .S_AXI_HP1_wstrb(S_AXI_HP1_wstrb),
205
        .S_AXI_HP1_wvalid(S_AXI_HP1_wvalid)
206
                 );
207
wire [7:0] r8,g8,b8;
208
       vga_out U_axi_vga(
209
             .S_AXI_ACLK         (FCLK_CLK0),
210
             .S_AXI_ARESETN      (FCLK_RESET0_N),
211
 
212
 
213
     //master
214
     //write
215
             .M_AXI_AWADDR     (S_AXI_HP1_awaddr),
216
             .M_AXI_AWBURST    (S_AXI_HP1_awburst),
217
             .M_AXI_AWCACHE    (S_AXI_HP1_awcache),
218
             .M_AXI_AWID       (S_AXI_HP1_awid),
219
             .M_AXI_AWLEN      (S_AXI_HP1_awlen),
220
             .M_AXI_AWLOCK     (S_AXI_HP1_awlock),
221
             .M_AXI_AWPROT     (S_AXI_HP1_awprot),
222
             .M_AXI_AWQOS      (S_AXI_HP1_awqos),
223
             .M_AXI_AWREADY    (S_AXI_HP1_awready),
224
             .M_AXI_AWSIZE     (S_AXI_HP1_awsize),
225
             .M_AXI_AWVALID    (S_AXI_HP1_awvalid),
226
             .M_AXI_WDATA      (S_AXI_HP1_wdata),
227
             .M_AXI_WID        (S_AXI_HP1_wid),
228
             .M_AXI_WLAST      (S_AXI_HP1_wlast),
229
             .M_AXI_WREADY     (S_AXI_HP1_wready),
230
             .M_AXI_WSTRB      (S_AXI_HP1_wstrb),
231
             .M_AXI_WVALID     (S_AXI_HP1_wvalid),
232
             .M_AXI_BID        (S_AXI_HP1_bid),
233
             .M_AXI_BREADY     (S_AXI_HP1_bready),
234
             .M_AXI_BRESP      (S_AXI_HP1_bresp),
235
             .M_AXI_BVALID     (S_AXI_HP1_bvalid),
236
      //read                                  1
237
             .M_AXI_ARADDR     (S_AXI_HP1_araddr),
238
             .M_AXI_ARBURST    (S_AXI_HP1_arburst),
239
             .M_AXI_ARCACHE    (S_AXI_HP1_arcache),
240
             .M_AXI_ARID       (S_AXI_HP1_arid),
241
             .M_AXI_ARLEN      (S_AXI_HP1_arlen),
242
             .M_AXI_ARLOCK     (S_AXI_HP1_arlock),
243
             .M_AXI_ARPROT     (S_AXI_HP1_arprot),
244
             .M_AXI_ARQOS      (S_AXI_HP1_arqos),
245
             .M_AXI_ARREADY    (S_AXI_HP1_arready),
246
             .M_AXI_ARSIZE     (S_AXI_HP1_arsize),
247
             .M_AXI_ARVALID    (S_AXI_HP1_arvalid),
248
             .M_AXI_RDATA      (S_AXI_HP1_rdata),
249
             .M_AXI_RID        (S_AXI_HP1_rid),
250
             .M_AXI_RLAST      (S_AXI_HP1_rlast),
251
             .M_AXI_RREADY     (S_AXI_HP1_rready),
252
             .M_AXI_RRESP      (S_AXI_HP1_rresp),
253
             .M_AXI_RVALID     (S_AXI_HP1_rvalid),
254
             .r8     (r8),
255
             .g8     (g8),
256
             .b8     (b8),
257
             .hsync  (hsync),
258
             .vsync  (vsync),
259
             .de     (de),
260
             .pixel_clk  (pixel_clk)
261
             );
262
 //debug
263
 reg [3:0] vcount;
264
 reg vhsync_d;
265
 always @(posedge FCLK_CLK0) vhsync_d <= vsync;
266
 always @(negedge FCLK_RESET0_N or posedge pixel_clk) begin
267
       if (!FCLK_RESET0_N) vcount <= 0;
268
       else if (vsync & !vhsync_d) vcount <= vcount +1;
269
 end
270
////////////////////////////////////////////////////////////////////////
271
// model
272
////////////////////////////////////////////
273
vga_test U_vga_test(
274
         .reset_n         (FCLK_RESET0_N),
275
         .pixel_clk       (pixel_clk),
276
         .hsync           (hsync),
277
         .vsync                                         (vsync),
278
         .de                                                    (de),
279
         .r8              (r8),
280
         .g8              (g8),
281
         .b8              (b8)
282
   );
283
 
284
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.