OpenCores
URL https://opencores.org/ocsvn/bilinear_demosaic/bilinear_demosaic/trunk

Subversion Repositories bilinear_demosaic

[/] [bilinear_demosaic/] [trunk/] [sim/] [rtl_sim/] [work/] [_info] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tesla500
m255
2
K3
3
13
4
cModel Technology
5
Z0 dE:\FPGA\IP\video stream_scaler_3\video_stream_scaler\trunk\sim\rtl_sim
6
T_opt
7
V5V_8B4bdk[f0F`5mz48TL0
8
04 15 4 work scalerTestbench fast 0
9
=1-002683150617-50a16e56-2e8-2f4c
10
o-quiet -auto_acc_if_foreign -work work
11
n@_opt
12
OE;O;6.6b;45
13
Z1 dE:\FPGA\IP\video stream_scaler_3\video_stream_scaler\trunk\sim\rtl_sim
14
vbilinearDemosaic
15
Vm20QVPdF0:d^6fekh6=Xk1
16
r1
17
31
18
IB^KBNTDhidPL0_6dDUgAK1
19
Z2 dE:\David\Documents\Projects\Bilinear Demosaic\Sim
20
Z3 w1353317677
21
Z4 8E:/David/Documents/Projects/Bilinear Demosaic/Sim/bilinearDemosaic.v
22
Z5 FE:/David/Documents/Projects/Bilinear Demosaic/Sim/bilinearDemosaic.v
23
L0 57
24
Z6 OE;L;6.6b;45
25
Z7 !s102 -nocovercells
26
Z8 o-work work -nocovercells -L mtiAvm -L mtiOvm -L mtiUPF
27
nbilinear@demosaic
28
!s85 0
29
!s100 L02^FkTFk=E>A]YG=KeX92
30
vdemosaicTest
31
VKe;ZARQozT20b=hRkNB6M1
32
r1
33
31
34
Ili2YjE8aDD;jilEg5Vz
35
R2
36
Z9 w1353317693
37
Z10 8E:/David/Documents/Projects/Bilinear Demosaic/Sim/demosaic_tb.v
38
Z11 FE:/David/Documents/Projects/Bilinear Demosaic/Sim/demosaic_tb.v
39
L0 115
40
R6
41
R7
42
R8
43
ndemosaic@test
44
!s85 0
45
!s100 KJlbK48@T]4n:dY1_fggK0
46
vramDualPort
47
V]idGo>m9R[fEaEK5gUXK@2
48
r1
49
!s85 0
50
31
51
I1RF
52
R2
53
R3
54
R4
55
R5
56
L0 716
57
R6
58
R7
59
R8
60
nram@dual@port
61
!s100 IVEjNNbWc_[Ed0CaZMZYP1
62
vramFifo
63
V8;7?o;e;dEA7Lnze8oMiW3
64
r1
65
31
66
I[l??BzV5fl4KTjczS6cXN3
67
R2
68
R3
69
R4
70
R5
71
L0 588
72
R6
73
R7
74
R8
75
nram@fifo
76
!s85 0
77
!s100 fDKB4n6Qk6Ee1
78
vregisterDelay
79
VbJRR^d4DCH=kRdYFVfgA41
80
r1
81
31
82
IOK1M8o=>QB_O4DAnZn1fo0
83
R2
84
w1353130333
85
8E:/David/Documents/Projects/Bilinear Demosaic/Sim/registerDelay.v
86
FE:/David/Documents/Projects/Bilinear Demosaic/Sim/registerDelay.v
87
L0 8
88
R6
89
R7
90
R8
91
nregister@delay
92
!s85 0
93
!s100 4G5?0MB[>RjB:=TcDeiBi1
94
vscalerTest
95
I:n>h9H^AFB:B2
96
VB431=En`oEc78kVfnOQX51
97
R2
98
w1352064233
99
R10
100
R11
101
L0 261
102
R6
103
r1
104
31
105
R7
106
R8
107
nscaler@test
108
!s100 ]??U01N^CfPdBEC;LW@Y>0
109
!s85 0
110
vscalerTestbench
111
VbWcMJfkR4Y6gc<16`;b`L1
112
r1
113
31
114
IJN8NIiabGCfd
115
R2
116
R9
117
R10
118
R11
119
L0 35
120
R6
121
R7
122
R8
123
nscaler@testbench
124
!s85 0
125
!s100 `X:U]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.