OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [LumaChromaParallel/] [mkH264.bsv] - Blame information for rev 86

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 86 jamey.hick
// The MIT License
2
 
3
// Copyright (c) 2006-2007 Massachusetts Institute of Technology
4
 
5
// Permission is hereby granted, free of charge, to any person obtaining a copy
6
// of this software and associated documentation files (the "Software"), to deal
7
// in the Software without restriction, including without limitation the rights
8
// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
9
// copies of the Software, and to permit persons to whom the Software is
10
// furnished to do so, subject to the following conditions:
11
 
12
// The above copyright notice and this permission notice shall be included in
13
// all copies or substantial portions of the Software.
14
 
15
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
16
// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
17
// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
18
// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
19
// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
20
// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
21
// THE SOFTWARE.
22
//**********************************************************************
23
// H264 Main Module
24
//----------------------------------------------------------------------
25
//
26
//
27
 
28
package mkH264;
29
 
30
import H264Types::*;
31
import IH264::*;
32
import INalUnwrap::*;
33
import IEntropyDec::*;
34
import IInverseTrans::*;
35
import IPrediction::*;
36
import IDeblockFilter::*;
37
import IBufferControl::*;
38
import mkNalUnwrap::*;
39
import mkEntropyDec::*;
40
import mkInverseTrans::*;
41
import mkPrediction::*;
42
import mkDeblockFilter::*;
43
import mkBufferControl::*;
44
 
45
import Connectable::*;
46
import GetPut::*;
47
import ClientServer::*;
48
 
49
(* synthesize *)
50
module mkH264( IH264 );
51
 
52
   // Instantiate the modules
53
 
54
   INalUnwrap     nalunwrap     <- mkNalUnwrap();
55
   IEntropyDec    entropydec    <- mkEntropyDec();
56
   IInverseTrans  inversetrans  <- mkInverseTrans();
57
   IPrediction    prediction    <- mkPrediction();
58
   IDeblockFilter deblockfilter <- mkDeblockFilter();
59
   IBufferControl buffercontrol <- mkBufferControl();
60
 
61
   // Internal connections
62
   mkConnection( prediction.mem_client_buffer, buffercontrol.inter_server );
63
 
64
   mkConnection( nalunwrap.ioout, entropydec.ioin );
65
   mkConnection( entropydec.ioout_InverseTrans, inversetrans.ioin );
66
   mkConnection( entropydec.ioout, prediction.ioin );
67
   mkConnection( inversetrans.ioout, prediction.ioin_InverseTrans );
68
   mkConnection(prediction.ioout, deblockfilter.ioin);
69
   mkConnection( deblockfilter.ioout, buffercontrol.ioin);
70
 
71
   // Interface to input generator
72
   interface ioin = nalunwrap.ioin;
73
 
74
   // Memory interfaces
75
   interface mem_clientED          = entropydec.mem_client;
76
   interface mem_clientP_intra     = prediction.mem_client_intra;
77
   interface mem_clientP_inter     = prediction.mem_client_inter;
78
   interface mem_clientD_data      = deblockfilter.mem_client_data;
79
   interface mem_clientD_parameter = deblockfilter.mem_client_parameter;
80
   interface buffer_client_load1   = buffercontrol.buffer_client_load1;
81
   interface buffer_client_load2   = buffercontrol.buffer_client_load2;
82
   interface buffer_client_store   = buffercontrol.buffer_client_store;
83
 
84
   // Interface for output
85
   interface ioout = buffercontrol.ioout;
86
 
87
endmodule
88
 
89
endpackage

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.