OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [release/] [ICalc_nC.bsv] - Blame information for rev 84

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 84 jamey.hick
//**********************************************************************
2
// Interface for nC Calculator
3
//----------------------------------------------------------------------
4
//
5
//
6
//
7
 
8
package ICalc_nC;
9
 
10
import H264Types::*;
11
import GetPut::*;
12
import ClientServer::*;
13
 
14
interface Calc_nC;
15
   method Action  initialize_picWidth( Bit#(PicWidthSz) picWidthInMb );
16
   method Action  initialize( Bit#(PicAreaSz) firstMbAddr );
17
   method Action  loadMb( Bit#(PicAreaSz) mbAddr );
18
   method Bit#(5) nCcalc_luma( Bit#(4) microBlockNum );
19
   method Bit#(5) nCcalc_chroma( Bit#(3) microBlockNum );
20
   method Action  nNupdate_luma( Bit#(4) microBlockNum, Bit#(5) updataVal );
21
   method Action  nNupdate_chroma( Bit#(3) microBlockNum, Bit#(5) updataVal );
22
   method Action  nNupdate_pskip( Bit#(PicAreaSz) mb_skip_run );
23
   method Action  nNupdate_ipcm();
24
   interface Client#(MemReq#(TAdd#(PicWidthSz,1),20),MemResp#(20)) mem_client;
25
endinterface
26
 
27
endpackage
28
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.