OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [release/] [IFrameBuffer.bsv] - Blame information for rev 84

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 84 jamey.hick
//**********************************************************************
2
// Interface for Frame Buffer
3
//----------------------------------------------------------------------
4
//
5
//
6
//
7
 
8
package IFrameBuffer;
9
 
10
import H264Types::*;
11
import ClientServer::*;
12
import GetPut::*;
13
 
14
interface IFrameBuffer;
15
 
16
  // Interface from processor to cache
17
  interface Server#(FrameBufferLoadReq,FrameBufferLoadResp) server_load1;
18
  interface Server#(FrameBufferLoadReq,FrameBufferLoadResp) server_load2;
19
  interface Put#(FrameBufferStoreReq) server_store;
20
 
21
endinterface
22
 
23
endpackage

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.