OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [release/] [MemoryTee.bsv] - Blame information for rev 84

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 84 jamey.hick
import H264Types::*;
2
import GetPut::*;
3
import ClientServer::*;
4
 
5
 
6
module mkMemoryTee#(Client#(req_type,resp_type) client, Server#(req_type,resp_type) server, String prefix) ()
7
  provisos(
8
            Bits#(req_type, req_type_sz),
9
            Bits#(resp_type, resp_type_sz))  ;
10
 
11
  rule clientToServer;
12
    let clientReq <- client.request.get();
13
    server.request.put(clientReq);
14
    $write(prefix);
15
    $write(" REQ ");
16
    $display("%h", clientReq);
17
  endrule
18
 
19
  rule serverToClient;
20
    let clientResp <- server.response.get();
21
    client.response.put(clientResp);
22
    $write(prefix);
23
    $write(" RESP ");
24
    $display("%h", clientResp);
25
  endrule
26
 
27
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.