OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [release/] [mkH264.bsv] - Blame information for rev 84

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 84 jamey.hick
//**********************************************************************
2
// H264 Main Module
3
//----------------------------------------------------------------------
4
//
5
//
6
 
7
package mkH264;
8
 
9
import H264Types::*;
10
import IH264::*;
11
import INalUnwrap::*;
12
import IEntropyDec::*;
13
import IInverseTrans::*;
14
import IPrediction::*;
15
import IDeblockFilter::*;
16
import IBufferControl::*;
17
import mkNalUnwrap::*;
18
import mkEntropyDec::*;
19
import mkInverseTrans::*;
20
import mkPrediction::*;
21
import mkDeblockFilter::*;
22
import mkBufferControl::*;
23
import EntropyTee::*;
24
import DeblockTee::*;
25
 
26
import Connectable::*;
27
import GetPut::*;
28
import ClientServer::*;
29
 
30
(* synthesize *)
31
module mkH264( IH264 );
32
 
33
   // Instantiate the modules
34
 
35
   INalUnwrap     nalunwrap     <- mkNalUnwrap();
36
   IEntropyDec    entropydec    <- mkEntropyDec();
37
   IInverseTrans  inversetrans  <- mkInverseTrans();
38
   IPrediction    prediction    <- mkPrediction();
39
   IDeblockFilter deblockfilter <- mkDeblockFilter();
40
   IBufferControl buffercontrol <- mkBufferControl();
41
 
42
   // Internal connections
43
   mkConnection( prediction.mem_client_buffer, buffercontrol.inter_server );
44
 
45
   mkConnection( nalunwrap.ioout, entropydec.ioin );
46
   mkConnection( entropydec.ioout_InverseTrans, inversetrans.ioin );
47
   mkConnection( entropydec.ioout, prediction.ioin );
48
   mkConnection( inversetrans.ioout, prediction.ioin_InverseTrans );
49
   mkConnection(prediction.ioout, deblockfilter.ioin);
50
   mkConnection( deblockfilter.ioout, buffercontrol.ioin);
51
 
52
   // Interface to input generator
53
   interface ioin = nalunwrap.ioin;
54
 
55
   // Memory interfaces
56
   interface mem_clientED          = entropydec.mem_client;
57
   interface mem_clientP_intra     = prediction.mem_client_intra;
58
   interface mem_clientP_inter     = prediction.mem_client_inter;
59
   interface mem_clientD_data      = deblockfilter.mem_client_data;
60
   interface mem_clientD_parameter = deblockfilter.mem_client_parameter;
61
   interface buffer_client_load1   = buffercontrol.buffer_client_load1;
62
   interface buffer_client_load2   = buffercontrol.buffer_client_load2;
63
   interface buffer_client_store   = buffercontrol.buffer_client_store;
64
 
65
   // Interface for output
66
   interface ioout = buffercontrol.ioout;
67
 
68
endmodule
69
 
70
endpackage

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.