OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [release/] [mkInputGen.bsv] - Blame information for rev 100

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 85 jamey.hick
// The MIT License
2
 
3
// Copyright (c) 2006-2007 Massachusetts Institute of Technology
4
 
5
// Permission is hereby granted, free of charge, to any person obtaining a copy
6
// of this software and associated documentation files (the "Software"), to deal
7
// in the Software without restriction, including without limitation the rights
8
// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
9
// copies of the Software, and to permit persons to whom the Software is
10
// furnished to do so, subject to the following conditions:
11
 
12
// The above copyright notice and this permission notice shall be included in
13
// all copies or substantial portions of the Software.
14
 
15
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
16
// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
17
// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
18
// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
19
// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
20
// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
21
// THE SOFTWARE.
22 84 jamey.hick
//**********************************************************************
23
// Input Generator implementation
24
//----------------------------------------------------------------------
25
//
26
//
27
 
28
package mkInputGen;
29
 
30
import H264Types::*;
31
import IInputGen::*;
32
import RegFile::*;
33
import FIFO::*;
34
 
35
import Connectable::*;
36
import GetPut::*;
37
 
38
`define INPUT_SIZE 10000000
39
 
40
module mkInputGen( IInputGen );
41
   RegFile#(Bit#(1), Bit#(32)) rfile2 <- mkRegFileFullLoad("input_size.hex");
42
   RegFile#(Bit#(27), Bit#(8)) rfile <- mkRegFileLoad("input.hex", 0, `INPUT_SIZE);
43
 
44
   FIFO#(InputGenOT) outfifo <- mkFIFO;
45
   Reg#(Bit#(27))    index   <- mkReg(0);
46
   Reg#(Bit#(27))    file_size <- mkReg(0);
47
   Reg#(Bool)        initialized <- mkReg(False);
48
 
49
   rule init (!initialized);
50
      file_size <= truncate(rfile2.sub(0));
51
      $display("File Size: %h", rfile2.sub(0));
52
      initialized <= True;
53
   endrule
54
 
55
   rule output_byte ((index < file_size) && initialized);
56
      $display( "ccl0inputbyte %h", rfile.sub(index) );
57
      outfifo.enq(DataByte (rfile.sub(index)));
58
      index <= index+1;
59
   endrule
60
 
61
   rule end_of_file (index == file_size && initialized);
62
      //$finish(0);
63
      outfifo.enq(EndOfFile);
64
   endrule
65
 
66
   interface Get ioout = fifoToGet(outfifo);
67
 
68
endmodule
69
 
70
 
71
endpackage

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.