OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [release/] [mkInputGen_intersquid.bsv] - Blame information for rev 100

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 85 jamey.hick
// The MIT License
2
 
3
// Copyright (c) 2006-2007 Massachusetts Institute of Technology
4
 
5
// Permission is hereby granted, free of charge, to any person obtaining a copy
6
// of this software and associated documentation files (the "Software"), to deal
7
// in the Software without restriction, including without limitation the rights
8
// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
9
// copies of the Software, and to permit persons to whom the Software is
10
// furnished to do so, subject to the following conditions:
11
 
12
// The above copyright notice and this permission notice shall be included in
13
// all copies or substantial portions of the Software.
14
 
15
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
16
// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
17
// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
18
// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
19
// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
20
// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
21
// THE SOFTWARE.
22 84 jamey.hick
//**********************************************************************
23
// Input Generator implementation
24
//----------------------------------------------------------------------
25
//
26
//
27
 
28
package mkInputGen;
29
 
30
import H264Types::*;
31
import IInputGen::*;
32
import RegFile::*;
33
import FIFO::*;
34
 
35
import Connectable::*;
36
import GetPut::*;
37
 
38
 
39
module mkInputGen( IInputGen );
40
 
41
   RegFile#(Bit#(27), Bit#(8)) rfile <- mkRegFileLoad("inter_squid.hex", 0, 4376240);
42
 
43
   FIFO#(InputGenOT) outfifo <- mkFIFO;
44
   Reg#(Bit#(27))    index   <- mkReg(0);
45
 
46
   rule output_byte (index < 4376241);
47
      //$display( "ccl0inputbyte %x", rfile.sub(index) );
48
      outfifo.enq(DataByte rfile.sub(index));
49
      index <= index+1;
50
   endrule
51
 
52
   rule end_of_file (index == 4376241);
53
      //$finish(0);
54
      outfifo.enq(EndOfFile);
55
   endrule
56
 
57
   interface Get ioout = fifoToGet(outfifo);
58
 
59
endmodule
60
 
61
 
62
endpackage

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.