OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [release/] [mkMemED_bram.bsv] - Blame information for rev 100

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 85 jamey.hick
// The MIT License
2
 
3
// Copyright (c) 2006-2007 Massachusetts Institute of Technology
4
 
5
// Permission is hereby granted, free of charge, to any person obtaining a copy
6
// of this software and associated documentation files (the "Software"), to deal
7
// in the Software without restriction, including without limitation the rights
8
// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
9
// copies of the Software, and to permit persons to whom the Software is
10
// furnished to do so, subject to the following conditions:
11
 
12
// The above copyright notice and this permission notice shall be included in
13
// all copies or substantial portions of the Software.
14
 
15
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
16
// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
17
// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
18
// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
19
// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
20
// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
21
// THE SOFTWARE.
22 84 jamey.hick
//**********************************************************************
23
// Memory for Entropy Decoder
24
//----------------------------------------------------------------------
25
//
26
//
27
//
28
 
29
package mkMemED;
30
 
31
import H264Types::*;
32
import IMemED::*;
33
import GetPut::*;
34
import ClientServer::*;
35
import FIFO::*;
36
import BRAM::*;
37
 
38
 
39
//----------------------------------------------------------------------
40
// Main module
41
//----------------------------------------------------------------------
42
 
43
module mkMemED(IMemED#(index_size,data_size))
44
   provisos (Bits#(MemReq#(index_size,data_size),mReqLen),
45
             Bits#(MemResp#(data_size),mRespLen));
46
 
47
  //-----------------------------------------------------------
48
  // State
49
 
50
   BRAM#(Bit#(index_size),Bit#(data_size)) bramfile <- mkBRAM_Full();
51
 
52
   FIFO#(MemReq#(index_size,data_size)) reqQ  <- mkFIFO();
53
   FIFO#(MemResp#(data_size))  respQ <- mkFIFO();
54
 
55
   rule storing ( reqQ.first() matches tagged StoreReq { addr:.addrt,data:.datat} );
56
      bramfile.write(addrt,datat);
57
      reqQ.deq();
58
   endrule
59
 
60
   rule reading ( reqQ.first() matches tagged LoadReq .addrt );
61
      bramfile.read_req(addrt);
62
      reqQ.deq();
63
   endrule
64
 
65
   rule readresp ( True );
66
      let temp <- bramfile.read_resp;
67
      respQ.enq( LoadResp temp );
68
   endrule
69
 
70
   interface Server mem_server;
71
      interface Put request  = fifoToPut(reqQ);
72
      interface Get response = fifoToGet(respQ);
73
   endinterface
74
 
75
 
76
endmodule
77
 
78
endpackage

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.