OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [src/] [DeblockTee.bsv] - Blame information for rev 24

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 21 jamey.hick
import GetPut::*;
2
import H264Types::*;
3
 
4
 
5
module mkDeblockTee#(Get#(DeblockFilterOT) inputData, Put#(DeblockFilterOT) outputData, String prefix) ();
6
 
7 24 jamey.hick
 Reg#(Bit#(32)) cycles <- mkReg(0);
8
 
9
 rule cycleup;
10
   cycles <= cycles + 1;
11
 endrule
12
 
13 21 jamey.hick
 rule processData;
14
   let dataIn <- inputData.get();
15
   outputData.put(dataIn);
16
   $write(prefix);
17
   case (dataIn) matches
18 24 jamey.hick
     tagged DFBLuma .data: $display("DFBLuma(%d): hor: %d ver:%d data:%h\n", cycles,data.hor, data.ver, data.data);
19
     tagged DFBChroma .data: $display("DFBChroma(%d): flag: %d hor: %d ver:%d data:%h\n", cycles, data.uv, data.hor, data.ver, data.data);
20
     tagged EndOfFrame: $display("EndOfFrame(%d)", cycles);
21 21 jamey.hick
     tagged EDOT .data: $display("EDOT");
22
   endcase
23
 endrule
24
endmodule
25
 
26
 

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.