OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [src/] [IH264.bsv] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jamey.hick
//**********************************************************************
2
// Interface for H264 Main Module
3
//----------------------------------------------------------------------
4
//
5
//
6
//
7
 
8
package IH264;
9
 
10
import H264Types::*;
11
import GetPut::*;
12
import ClientServer::*;
13
 
14
interface IH264;
15
 
16
   // Interface for memory, input generator
17
   interface Put#(InputGenOT)                    ioin;
18
   interface Client#(MemReq#(TAdd#(PicWidthSz,1),20),MemResp#(20)) mem_clientED;
19
   interface Client#(MemReq#(TAdd#(PicWidthSz,2),68),MemResp#(68)) mem_clientP_intra;
20
   interface Client#(MemReq#(TAdd#(PicWidthSz,2),32),MemResp#(32)) mem_clientP_inter;
21
   interface Client#(MemReq#(PicWidthSz,13),MemResp#(13)) mem_clientD_parameter;
22
   interface Client#(MemReq#(TAdd#(PicWidthSz,5),32),MemResp#(32)) mem_clientD_data;
23
   interface Client#(FrameBufferLoadReq,FrameBufferLoadResp) buffer_client_load1;
24
   interface Client#(FrameBufferLoadReq,FrameBufferLoadResp) buffer_client_load2;
25
   interface Get#(FrameBufferStoreReq) buffer_client_store;
26
   interface Get#(BufferControlOT) ioout;
27
 
28
endinterface
29
 
30
endpackage
31
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.