OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [src/] [mkInputGen.bsv] - Blame information for rev 100

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 83 jamey.hick
 
2
// The MIT License
3
 
4
// Copyright (c) 2006-2007 Massachusetts Institute of Technology
5
 
6
// Permission is hereby granted, free of charge, to any person obtaining a copy
7
// of this software and associated documentation files (the "Software"), to deal
8
// in the Software without restriction, including without limitation the rights
9
// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
10
// copies of the Software, and to permit persons to whom the Software is
11
// furnished to do so, subject to the following conditions:
12
 
13
// The above copyright notice and this permission notice shall be included in
14
// all copies or substantial portions of the Software.
15
 
16
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
17
// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
18
// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
19
// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
20
// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
21
// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
22
// THE SOFTWARE.
23
 
24 2 jamey.hick
//**********************************************************************
25
// Input Generator implementation
26
//----------------------------------------------------------------------
27
//
28
//
29
 
30
package mkInputGen;
31
 
32
import H264Types::*;
33
import IInputGen::*;
34
import RegFile::*;
35
import FIFO::*;
36
 
37
import Connectable::*;
38
import GetPut::*;
39
 
40 16 jamey.hick
`define INPUT_SIZE 10000000
41 2 jamey.hick
 
42
module mkInputGen( IInputGen );
43 16 jamey.hick
   RegFile#(Bit#(1), Bit#(32)) rfile2 <- mkRegFileFullLoad("input_size.hex");
44
   RegFile#(Bit#(27), Bit#(8)) rfile <- mkRegFileLoad("input.hex", 0, `INPUT_SIZE);
45 2 jamey.hick
 
46
   FIFO#(InputGenOT) outfifo <- mkFIFO;
47
   Reg#(Bit#(27))    index   <- mkReg(0);
48 16 jamey.hick
   Reg#(Bit#(27))    file_size <- mkReg(0);
49
   Reg#(Bool)        initialized <- mkReg(False);
50 2 jamey.hick
 
51 16 jamey.hick
   rule init (!initialized);
52
      file_size <= truncate(rfile2.sub(0));
53
      $display("File Size: %h", rfile2.sub(0));
54
      initialized <= True;
55
   endrule
56
 
57
   rule output_byte ((index < file_size) && initialized);
58
      $display( "ccl0inputbyte %h", rfile.sub(index) );
59 13 jamey.hick
      outfifo.enq(DataByte (rfile.sub(index)));
60 2 jamey.hick
      index <= index+1;
61
   endrule
62
 
63 16 jamey.hick
   rule end_of_file (index == file_size && initialized);
64 2 jamey.hick
      //$finish(0);
65
      outfifo.enq(EndOfFile);
66
   endrule
67
 
68
   interface Get ioout = fifoToGet(outfifo);
69
 
70
endmodule
71
 
72
 
73
endpackage

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.