OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [src/] [mkMemEDDecoupled.bsv] - Blame information for rev 66

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 66 jamey.hick
//**********************************************************************
2
// Memory for Entropy Decoder
3
//----------------------------------------------------------------------
4
//
5
//
6
//
7
 
8
import H264Types::*;
9
import IMemEDDecoupled::*;
10
import RegFile::*;
11
import GetPut::*;
12
import ClientServer::*;
13
import FIFO::*;
14
 
15
 
16
//----------------------------------------------------------------------
17
// Main module
18
//----------------------------------------------------------------------
19
 
20
module mkMemEDDecoupled(IMemEDDecoupled#(index_size,data_size))
21
   provisos (Bits#(MemReq#(index_size,data_size),mReqLen),
22
             Bits#(MemResp#(data_size),mRespLen));
23
 
24
  //-----------------------------------------------------------
25
  // State
26
 
27
   RegFile#(Bit#(index_size),Bit#(data_size)) rfile <- mkRegFileWCF(0,fromInteger(valueof(TSub#(TExp#(index_size),1))));
28
 
29
   FIFO#(MemReq#(index_size,data_size)) reqQStore  <- mkFIFO();
30
   FIFO#(MemReq#(index_size,data_size)) reqQLoad   <- mkFIFO();
31
   FIFO#(MemResp#(data_size))  respQ <- mkFIFO();
32
 
33
   rule storing ( reqQStore.first() matches tagged StoreReq { addr:.addrt,data:.datat} );
34
      rfile.upd(addrt,datat);
35
      reqQStore.deq();
36
   endrule
37
 
38
   rule reading ( reqQLoad.first() matches tagged LoadReq .addrt );
39
      respQ.enq( tagged LoadResp (rfile.sub(addrt)) );
40
      reqQLoad.deq();
41
   endrule
42
 
43
 
44
   interface Put request_store  = fifoToPut(reqQStore);
45
   interface Put request_load  = fifoToPut(reqQLoad);
46
   interface Get response = fifoToGet(respQ);
47
 
48
endmodule
49
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.