OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [test/] [decoder/] [ldecod/] [inc/] [block.h] - Blame information for rev 100

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 jamey.hick
 
2
/*!
3
 ************************************************************************
4
 * \file block.h
5
 *
6
 * \brief
7
 *    definitions for block decoding functions
8
 *
9
 * \author
10
 *  Inge Lille-Langoy               <inge.lille-langoy@telenor.com>    \n
11
 *  Telenor Satellite Services                                         \n
12
 *  P.O.Box 6914 St.Olavs plass                                        \n
13
 *  N-0130 Oslo, Norway
14
 *
15
 ************************************************************************
16
 */
17
 
18
#ifndef _BLOCK_H_
19
#define _BLOCK_H_
20
 
21
#include "global.h"
22
 
23
#define DQ_BITS         6
24
 
25
extern const byte QP_SCALE_CR[52] ;
26
extern const int  dequant_coef[6][4][4];
27
extern const unsigned char subblk_offset_x[3][8][4];
28
extern const unsigned char subblk_offset_y[3][8][4];
29
 
30
#endif
31
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.