OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [test/] [decoder/] [ldecod/] [inc/] [loopfilter.h] - Blame information for rev 100

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 jamey.hick
/*!
2
 ************************************************************************
3
 *  \file
4
 *     loopfilter.h
5
 *  \brief
6
 *     external loop filter interface
7
 ************************************************************************
8
 */
9
 
10
#ifndef _LOOPFILTER_H_
11
#define _LOOPFILTER_H_
12
 
13
#include "global.h"
14
#include "mbuffer.h"
15
 
16
void DeblockPicture(struct img_par *img, StorablePicture *p) ;
17
 
18
#endif //_LOOPFILTER_H_

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.