OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [test/] [dehex.pl] - Blame information for rev 100

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 jamey.hick
#!/usr/local/bin/perl
2
 
3
 
4
 
5
open(INF, $ARGV[0]) || die("\nCan't open $ARGV[0] for reading: $!\n");
6
open(OUTF, ">$ARGV[1]") || die("\nCan't open $ARGV[1] for writing: $!\n");
7
 
8
binmode OUTF;
9
 
10
while ($temp=<INF>) {
11
    chop($temp);
12
    $s = length($temp);
13
    $byteCount += $s/2;
14
    print OUTF pack("H$s", $temp);
15
}
16
 
17
close INF;
18
close OUTF;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.