OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [test/] [test.pl] - Blame information for rev 100

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 jamey.hick
#fill this in at some point
2 18 jamey.hick
 
3
#build the code.
4
 
5
`cp ../build/Makefile ./`;
6
$str = `ls`;
7
print $str;
8
# build the test executable.
9
`make && bsc -sim -e mkTH *.ba`;
10
 
11
# build the golden decoder
12
`cd ./decoder/ldecod && make`;
13
 
14
@h264files = `ls ./h264`;
15
 
16
foreach(@h264files)
17
{
18
   chomp($_);
19
 
20 28 jamey.hick
  print $_;
21
  print " ";
22 18 jamey.hick
  `cp ./h264/$_  input.264`;
23
  system("wc input.264 | awk \'{printf(\"%08x\\n%08x\\n%08x\\n%08x\\n\", \$3, \$3, \$3, \$3)}\' > input_size.hex");
24
  `perl hexfilegen.pl input.264`;
25 59 jamey.hick
  system("./a.out | grep \"OUT\" | awk \'{print \$2}\' >  out.hex");
26 18 jamey.hick
  `perl dehex.pl out.hex out_hw.yuv`;
27
  `./decoder/bin/ldecod.exe -i input.264 -o out_gold.yuv`;
28
  $out=`diff -q out_gold.yuv out_hw.yuv`;
29
  print $out;
30 28 jamey.hick
  print "\n";
31 18 jamey.hick
}
32
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.