OpenCores
URL https://opencores.org/ocsvn/btc_dsha256/btc_dsha256/trunk

Subversion Repositories btc_dsha256

[/] [btc_dsha256/] [trunk/] [rtl/] [vhdl/] [misc/] [SyncReset.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 nuxi1209
-- Copyright (c) 2013 VariStream
2
-- Author : Yu Peng 
3
-- Notes: Generates a "synchronous" reset from the async global reset
4
 
5
LIBRARY ieee;
6
USE ieee.std_logic_1164.all;
7
USE ieee.std_logic_unsigned.all;
8
 
9
entity SyncReset is
10
        port(
11
                iClk                            : in std_logic;                                         -- Clock domain that the reset should be resynchronyze to
12
                iAsyncReset             : in std_logic;                                         -- Asynchronous reset that should be resynchronyse
13
                oSyncReset              : out std_logic                                         -- Synchronous reset output
14
        );
15
end SyncReset;
16
 
17
architecture SyncReset of SyncReset is
18
 
19
        signal sResetStage1                             : std_logic;
20
 
21
begin
22
 
23
        process(iClk, iAsyncReset)
24
        begin
25
                if iAsyncReset = '1' then
26
                        sResetStage1            <= '1';
27
                        oSyncReset                      <= '1';
28
                elsif rising_edge(iClk) then
29
                        sResetStage1            <= '0';
30
                        oSyncReset                      <= sResetStage1;
31
                end if;
32
        end process;
33
 
34
end SyncReset;
35
 
36
 
37
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.