OpenCores
URL https://opencores.org/ocsvn/btc_dsha256/btc_dsha256/trunk

Subversion Repositories btc_dsha256

[/] [btc_dsha256/] [trunk/] [rtl/] [vhdl/] [misc/] [edgedtc.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 nuxi1209
----------------------------------------------------------------------------------------------------------------------
2
-- Copyright (c) 2013 VariStream
3
-- Author : Yu Peng 
4
-- Description:
5
--   Edge detector
6
--   If iEdge = 1 > rising edge detect
7
--   If iEdge = 0 > falling edge detect
8
----------------------------------------------------------------------------------------------------------------------
9
 
10
library ieee;
11
use ieee.std_logic_1164.all;
12
use ieee.std_logic_unsigned.all;
13
 
14
entity edgedtc is port
15
        (
16
                iD                              : in            std_logic;
17
                iClk                    : in            std_logic;
18
                iResetSync_Clk  : in            std_logic;
19
                iEdge                   : in            std_logic;
20
                oQ                              : out           std_logic := '0'
21
        );
22
end edgedtc;
23
 
24
architecture edgedtc of edgedtc is
25
 
26
 
27
        signal sFf      : std_logic_vector(1 downto 0) := "00";
28
 
29
begin
30
 
31
        edgedtc:process(iClk)
32
                begin
33
                if rising_edge(iCLk) then
34
                        if iResetSync_Clk = '1' THEN
35
                                oQ <= '0';
36
                                sFf <= iEdge & iEdge;--"00";
37
                        else
38
                                sFf(0) <= iD;
39
                                sFf(1) <= sFf(0);
40
 
41
                                oQ <= (not iEdge xor sFf(0)) and (iEdge xor sFf(1));
42
                        end if;
43
                end if;
44
 
45
        end process;
46
 
47
 
48
 
49
end edgedtc;
50
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.