OpenCores
URL https://opencores.org/ocsvn/bu_pacman/bu_pacman/trunk

Subversion Repositories bu_pacman

[/] [bu_pacman/] [tags/] [arelease/] [Display_Controller.syr] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 soloist_hu
Release 10.1 - xst K.31 (nt)
2
Copyright (c) 1995-2008 Xilinx, Inc.  All rights reserved.
3
--> Parameter TMPDIR set to X:/Display_Controller/xst/projnav.tmp
4
 
5
 
6
Total REAL time to Xst completion: 0.00 secs
7
Total CPU time to Xst completion: 0.20 secs
8
 
9
--> Parameter xsthdpdir set to X:/Display_Controller/xst
10
 
11
 
12
Total REAL time to Xst completion: 0.00 secs
13
Total CPU time to Xst completion: 0.20 secs
14
 
15
--> Reading design: Display_Controller.prj
16
 
17
TABLE OF CONTENTS
18
  1) Synthesis Options Summary
19
  2) HDL Compilation
20
  3) Design Hierarchy Analysis
21
  4) HDL Analysis
22
  5) HDL Synthesis
23
     5.1) HDL Synthesis Report
24
  6) Advanced HDL Synthesis
25
     6.1) Advanced HDL Synthesis Report
26
  7) Low Level Synthesis
27
  8) Partition Report
28
  9) Final Report
29
     9.1) Device utilization summary
30
     9.2) Partition Resource Summary
31
     9.3) TIMING REPORT
32
 
33
 
34
=========================================================================
35
*                      Synthesis Options Summary                        *
36
=========================================================================
37
---- Source Parameters
38
Input File Name                    : "Display_Controller.prj"
39
Input Format                       : mixed
40
Ignore Synthesis Constraint File   : NO
41
 
42
---- Target Parameters
43
Output File Name                   : "Display_Controller"
44
Output Format                      : NGC
45
Target Device                      : xc3s1000-4-ft256
46
 
47
---- Source Options
48
Top Module Name                    : Display_Controller
49
Automatic FSM Extraction           : YES
50
FSM Encoding Algorithm             : Auto
51
Safe Implementation                : No
52
FSM Style                          : lut
53
RAM Extraction                     : Yes
54
RAM Style                          : Auto
55
ROM Extraction                     : Yes
56
Mux Style                          : Auto
57
Decoder Extraction                 : YES
58
Priority Encoder Extraction        : YES
59
Shift Register Extraction          : YES
60
Logical Shifter Extraction         : YES
61
XOR Collapsing                     : YES
62
ROM Style                          : Auto
63
Mux Extraction                     : YES
64
Resource Sharing                   : YES
65
Asynchronous To Synchronous        : NO
66
Multiplier Style                   : auto
67
Automatic Register Balancing       : No
68
 
69
---- Target Options
70
Add IO Buffers                     : YES
71
Global Maximum Fanout              : 500
72
Add Generic Clock Buffer(BUFG)     : 8
73
Register Duplication               : YES
74
Slice Packing                      : YES
75
Optimize Instantiated Primitives   : NO
76
Use Clock Enable                   : Yes
77
Use Synchronous Set                : Yes
78
Use Synchronous Reset              : Yes
79
Pack IO Registers into IOBs        : auto
80
Equivalent register Removal        : YES
81
 
82
---- General Options
83
Optimization Goal                  : Speed
84
Optimization Effort                : 1
85
Library Search Order               : Display_Controller.lso
86
Keep Hierarchy                     : NO
87
Netlist Hierarchy                  : as_optimized
88
RTL Output                         : Yes
89
Global Optimization                : AllClockNets
90
Read Cores                         : YES
91
Write Timing Constraints           : NO
92
Cross Clock Analysis               : NO
93
Hierarchy Separator                : /
94
Bus Delimiter                      : <>
95
Case Specifier                     : maintain
96
Slice Utilization Ratio            : 100
97
BRAM Utilization Ratio             : 100
98
Verilog 2001                       : YES
99
Auto BRAM Packing                  : NO
100
Slice Utilization Ratio Delta      : 5
101
 
102
=========================================================================
103
 
104
 
105
=========================================================================
106
*                          HDL Compilation                              *
107
=========================================================================
108
Compiling verilog file "vga_display.v" in library work
109
Compiling verilog file "vga_controller.v" in library work
110
Module  compiled
111
Compiling verilog file "generate_add.v" in library work
112
Module  compiled
113
Compiling verilog file "./fifo_generator_v4_3.v" in library work
114
Module  compiled
115
Compiling verilog file "color_fsm.v" in library work
116
Module  compiled
117
Compiling verilog file "clock_divider.v" in library work
118
Module  compiled
119
Compiling verilog file "Display_Controller.v" in library work
120
Module  compiled
121
Module  compiled
122
No errors in compilation
123
Analysis of file <"Display_Controller.prj"> succeeded.
124
 
125
 
126
=========================================================================
127
*                     Design Hierarchy Analysis                         *
128
=========================================================================
129
Analyzing hierarchy for module  in library .
130
 
131
Analyzing hierarchy for module  in library  with parameters.
132
        NBIT = "00000000000000000000000000000010"
133
        NDIV = "00000000000000000000000000000010"
134
 
135
Analyzing hierarchy for module  in library  with parameters.
136
        NBIT = "00000000000000000000000000000100"
137
        NDIV = "00000000000000000000000000001010"
138
 
139
Analyzing hierarchy for module  in library  with parameters.
140
        NBIT = "00000000000000000000000000000101"
141
        NDIV = "00000000000000000000000000010100"
142
 
143
Analyzing hierarchy for module  in library  with parameters.
144
        HFP = "00000000000000000000001010001000"
145
        HLINES = "00000000000000000000001010000000"
146
        HMAX = "00000000000000000000001100100000"
147
        HSP = "00000000000000000000001011101000"
148
        SPP = "00000000000000000000000000000000"
149
        VFP = "00000000000000000000000111100010"
150
        VLINES = "00000000000000000000000111100000"
151
        VMAX = "00000000000000000000001000001101"
152
        VSP = "00000000000000000000000111100100"
153
 
154
Analyzing hierarchy for module  in library  with parameters.
155
        pixel_1 = "000"
156
        pixel_2 = "001"
157
        pixel_3 = "010"
158
        pixel_4 = "011"
159
        pixel_5 = "100"
160
        xpos_end = "111000000"
161
        xpos_start = "011000000"
162
        ypos_end = "110110000"
163
        ypos_start = "000110000"
164
 
165
Analyzing hierarchy for module  in library  with parameters.
166
        score_pos = "111000010"
167
        xpos_end = "111000000"
168
        xpos_start = "011000000"
169
        ypos_end = "110110000"
170
        ypos_start = "000110000"
171
 
172
Analyzing hierarchy for module  in library .
173
 
174
 
175
=========================================================================
176
*                            HDL Analysis                               *
177
=========================================================================
178
Analyzing top module .
179
WARNING:Xst:2211 - "./fifo_generator_v4_3.v" line 48: Instantiating black box module .
180
Module  is correct for synthesis.
181
 
182
Analyzing module  in library .
183
        NBIT = 32'sb00000000000000000000000000000010
184
        NDIV = 32'sb00000000000000000000000000000010
185
Module  is correct for synthesis.
186
 
187
Analyzing module  in library .
188
        NBIT = 32'sb00000000000000000000000000000100
189
        NDIV = 32'sb00000000000000000000000000001010
190
Module  is correct for synthesis.
191
 
192
Analyzing module  in library .
193
        NBIT = 32'sb00000000000000000000000000000101
194
        NDIV = 32'sb00000000000000000000000000010100
195
Module  is correct for synthesis.
196
 
197
Analyzing module  in library .
198
        HFP = 32'sb00000000000000000000001010001000
199
        HLINES = 32'sb00000000000000000000001010000000
200
        HMAX = 32'sb00000000000000000000001100100000
201
        HSP = 32'sb00000000000000000000001011101000
202
        SPP = 32'sb00000000000000000000000000000000
203
        VFP = 32'sb00000000000000000000000111100010
204
        VLINES = 32'sb00000000000000000000000111100000
205
        VMAX = 32'sb00000000000000000000001000001101
206
        VSP = 32'sb00000000000000000000000111100100
207
Module  is correct for synthesis.
208
 
209
Analyzing module  in library .
210
        pixel_1 = 3'b000
211
        pixel_2 = 3'b001
212
        pixel_3 = 3'b010
213
        pixel_4 = 3'b011
214
        pixel_5 = 3'b100
215
        xpos_end = 9'b111000000
216
        xpos_start = 9'b011000000
217
        ypos_end = 9'b110110000
218
        ypos_start = 9'b000110000
219
WARNING:Xst:905 - "color_fsm.v" line 52: One or more signals are missing in the sensitivity list of always block. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
220
   , , 
221
Module  is correct for synthesis.
222
 
223
Analyzing module  in library .
224
        score_pos = 9'b111000010
225
        xpos_end = 9'b111000000
226
        xpos_start = 9'b011000000
227
        ypos_end = 9'b110110000
228
        ypos_start = 9'b000110000
229
Module  is correct for synthesis.
230
 
231
Analyzing module  in library .
232
Module  is correct for synthesis.
233
 
234
 
235
=========================================================================
236
*                           HDL Synthesis                               *
237
=========================================================================
238
 
239
Performing bidirectional port resolution...
240
 
241
Synthesizing Unit .
242
    Related source file is "clock_divider.v".
243
    Found 1-bit register for signal .
244
    Found 2-bit up counter for signal .
245
    Found 2-bit comparator greatequal for signal  created at line 38.
246
    Found 2-bit comparator greater for signal  created at line 40.
247
    Summary:
248
        inferred   1 Counter(s).
249
        inferred   1 D-type flip-flop(s).
250
        inferred   2 Comparator(s).
251
Unit  synthesized.
252
 
253
 
254
Synthesizing Unit .
255
    Related source file is "clock_divider.v".
256
    Found 1-bit register for signal .
257
    Found 4-bit up counter for signal .
258
    Found 4-bit comparator greatequal for signal  created at line 38.
259
    Found 4-bit comparator greater for signal  created at line 40.
260
    Summary:
261
        inferred   1 Counter(s).
262
        inferred   1 D-type flip-flop(s).
263
        inferred   2 Comparator(s).
264
Unit  synthesized.
265
 
266
 
267
Synthesizing Unit .
268
    Related source file is "clock_divider.v".
269
    Found 1-bit register for signal .
270
    Found 5-bit up counter for signal .
271
    Found 5-bit comparator greatequal for signal  created at line 38.
272
    Found 5-bit comparator greater for signal  created at line 40.
273
    Summary:
274
        inferred   1 Counter(s).
275
        inferred   1 D-type flip-flop(s).
276
        inferred   2 Comparator(s).
277
Unit  synthesized.
278
 
279
 
280
Synthesizing Unit .
281
    Related source file is "vga_controller.v".
282
    Found 1-bit register for signal .
283
    Found 11-bit up counter for signal .
284
    Found 11-bit up counter for signal .
285
    Found 1-bit register for signal .
286
    Found 1-bit register for signal .
287
    Found 11-bit comparator greatequal for signal  created at line 60.
288
    Found 11-bit comparator less for signal  created at line 60.
289
    Found 11-bit comparator less for signal  created at line 67.
290
    Found 11-bit comparator less for signal  created at line 67.
291
    Found 11-bit comparator greatequal for signal  created at line 64.
292
    Found 11-bit comparator less for signal  created at line 64.
293
    Summary:
294
        inferred   2 Counter(s).
295
        inferred   3 D-type flip-flop(s).
296
        inferred   6 Comparator(s).
297
Unit  synthesized.
298
 
299
 
300
Synthesizing Unit .
301
    Related source file is "color_fsm.v".
302
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
303
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
304
    Found finite state machine  for signal .
305
    -----------------------------------------------------------------------
306
    | States             | 5                                              |
307
    | Transitions        | 9                                              |
308
    | Inputs             | 1                                              |
309
    | Outputs            | 5                                              |
310
    | Clock              | clk_20MHz (rising_edge)                        |
311
    | Clock enable       | pixel_state$not0000 (positive)                 |
312
    | Power Up State     | 000                                            |
313
    | Encoding           | automatic                                      |
314
    | Implementation     | LUT                                            |
315
    -----------------------------------------------------------------------
316
WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
317
    Found 1-bit register for signal .
318
    Found 1-bit register for signal .
319
    Found 1-bit register for signal .
320
    Found 1-bit register for signal .
321
    Found 1-bit register for signal .
322
    Found 11-bit comparator greatequal for signal  created at line 74.
323
    Found 11-bit comparator greatequal for signal  created at line 73.
324
    Found 11-bit comparator less for signal  created at line 74.
325
    Found 11-bit comparator less for signal  created at line 73.
326
    Summary:
327
        inferred   1 Finite State Machine(s).
328
        inferred   5 D-type flip-flop(s).
329
        inferred   4 Comparator(s).
330
Unit  synthesized.
331
 
332
 
333
Synthesizing Unit .
334
    Related source file is "vga_display.v".
335
    Found 1-bit register for signal .
336
    Found 1-bit register for signal .
337
    Found 1-bit register for signal .
338
    Found 11-bit comparator greatequal for signal  created at line 52.
339
    Found 11-bit comparator greatequal for signal  created at line 53.
340
    Found 11-bit comparator less for signal  created at line 52.
341
    Found 11-bit comparator less for signal  created at line 53.
342
    Summary:
343
        inferred   3 D-type flip-flop(s).
344
        inferred   4 Comparator(s).
345
Unit  synthesized.
346
 
347
 
348
Synthesizing Unit .
349
    Related source file is "generate_add.v".
350
    Found 16-bit up counter for signal .
351
    Summary:
352
        inferred   1 Counter(s).
353
Unit  synthesized.
354
 
355
 
356
Synthesizing Unit .
357
    Related source file is "Display_Controller.v".
358
Unit  synthesized.
359
 
360
 
361
=========================================================================
362
HDL Synthesis Report
363
 
364
Macro Statistics
365
# Counters                                             : 6
366
 11-bit up counter                                     : 2
367
 16-bit up counter                                     : 1
368
 2-bit up counter                                      : 1
369
 4-bit up counter                                      : 1
370
 5-bit up counter                                      : 1
371
# Registers                                            : 14
372
 1-bit register                                        : 14
373
# Latches                                              : 1
374
 1-bit latch                                           : 1
375
# Comparators                                          : 20
376
 11-bit comparator greatequal                          : 6
377
 11-bit comparator less                                : 8
378
 2-bit comparator greatequal                           : 1
379
 2-bit comparator greater                              : 1
380
 4-bit comparator greatequal                           : 1
381
 4-bit comparator greater                              : 1
382
 5-bit comparator greatequal                           : 1
383
 5-bit comparator greater                              : 1
384
 
385
=========================================================================
386
 
387
=========================================================================
388
*                       Advanced HDL Synthesis                          *
389
=========================================================================
390
 
391
Analyzing FSM  for best encoding.
392
Optimizing FSM  on signal  with gray encoding.
393
-------------------
394
 State | Encoding
395
-------------------
396
 000   | 000
397
 001   | 001
398
 010   | 011
399
 011   | 010
400
 100   | 110
401
-------------------
402
Loading device for application Rf_Device from file '3s1000.nph' in environment C:\Xilinx\10.1\ISE.
403
Reading core .
404
Loading core  for timing and area information for instance .
405
WARNING:Xst:1426 - The value init of the FF/Latch 0 hinder the constant cleaning in the block count.
406
   You should achieve better results by setting this init to 1.
407
 
408
=========================================================================
409
Advanced HDL Synthesis Report
410
 
411
Macro Statistics
412
# Counters                                             : 6
413
 11-bit up counter                                     : 2
414
 16-bit up counter                                     : 1
415
 2-bit up counter                                      : 1
416
 4-bit up counter                                      : 1
417
 5-bit up counter                                      : 1
418
# Registers                                            : 17
419
 Flip-Flops                                            : 17
420
# Latches                                              : 1
421
 1-bit latch                                           : 1
422
# Comparators                                          : 20
423
 11-bit comparator greatequal                          : 6
424
 11-bit comparator less                                : 8
425
 2-bit comparator greatequal                           : 1
426
 2-bit comparator greater                              : 1
427
 4-bit comparator greatequal                           : 1
428
 4-bit comparator greater                              : 1
429
 5-bit comparator greatequal                           : 1
430
 5-bit comparator greater                              : 1
431
 
432
=========================================================================
433
 
434
=========================================================================
435
*                         Low Level Synthesis                           *
436
=========================================================================
437
WARNING:Xst:1426 - The value init of the FF/Latch count hinder the constant cleaning in the block color_fsm.
438
   You should achieve better results by setting this init to 1.
439
 
440
Optimizing unit  ...
441
 
442
Optimizing unit  ...
443
 
444
Mapping all equations...
445
Building and optimizing final netlist ...
446
Found area constraint ratio of 100 (+ 5) on block Display_Controller, actual ratio is 2.
447
INFO:Xst:2260 - The FF/Latch  in Unit  is equivalent to the following FF/Latch : 
448
INFO:Xst:2260 - The FF/Latch  in Unit  is equivalent to the following 2 FFs/Latches :  
449
INFO:Xst:2260 - The FF/Latch  in Unit  is equivalent to the following FF/Latch : 
450
INFO:Xst:2260 - The FF/Latch  in Unit  is equivalent to the following FF/Latch : 
451
INFO:Xst:2260 - The FF/Latch  in Unit  is equivalent to the following FF/Latch : 
452
INFO:Xst:2260 - The FF/Latch  in Unit  is equivalent to the following 2 FFs/Latches :  
453
INFO:Xst:2260 - The FF/Latch  in Unit  is equivalent to the following FF/Latch : 
454
INFO:Xst:2260 - The FF/Latch  in Unit  is equivalent to the following FF/Latch : 
455
 
456
Final Macro Processing ...
457
 
458
=========================================================================
459
Final Register Report
460
 
461
Macro Statistics
462
# Registers                                            : 66
463
 Flip-Flops                                            : 66
464
 
465
=========================================================================
466
 
467
=========================================================================
468
*                           Partition Report                             *
469
=========================================================================
470
 
471
Partition Implementation Status
472
-------------------------------
473
 
474
  No Partitions were found in this design.
475
 
476
-------------------------------
477
 
478
=========================================================================
479
*                            Final Report                               *
480
=========================================================================
481
Final Results
482
RTL Top Level Output File Name     : Display_Controller.ngr
483
Top Level Output File Name         : Display_Controller
484
Output Format                      : NGC
485
Optimization Goal                  : Speed
486
Keep Hierarchy                     : NO
487
 
488
Design Statistics
489
# IOs                              : 44
490
 
491
Cell Usage :
492
# BELS                             : 510
493
#      GND                         : 2
494
#      INV                         : 9
495
#      LUT1                        : 63
496
#      LUT2                        : 52
497
#      LUT2_L                      : 8
498
#      LUT3                        : 60
499
#      LUT3_D                      : 2
500
#      LUT3_L                      : 3
501
#      LUT4                        : 108
502
#      LUT4_D                      : 5
503
#      LUT4_L                      : 11
504
#      MUXCY                       : 95
505
#      MUXF5                       : 18
506
#      MUXF6                       : 9
507
#      VCC                         : 2
508
#      XORCY                       : 63
509
# FlipFlops/Latches                : 267
510
#      FD                          : 4
511
#      FDC                         : 112
512
#      FDCE                        : 67
513
#      FDE                         : 14
514
#      FDP                         : 9
515
#      FDPE                        : 5
516
#      FDR                         : 44
517
#      FDRE                        : 11
518
#      LDE                         : 1
519
# RAMS                             : 15
520
#      RAMB16_S1_S1                : 7
521
#      RAMB16_S9_S9                : 8
522
# Clock Buffers                    : 3
523
#      BUFG                        : 2
524
#      BUFGP                       : 1
525
# IO Buffers                       : 43
526
#      IBUF                        : 1
527
#      OBUF                        : 42
528
=========================================================================
529
 
530
Device utilization summary:
531
---------------------------
532
 
533
Selected Device : 3s1000ft256-4
534
 
535
 Number of Slices:                      221  out of   7680     2%
536
 Number of Slice Flip Flops:            267  out of  15360     1%
537
 Number of 4 input LUTs:                321  out of  15360     2%
538
 Number of IOs:                          44
539
 Number of bonded IOBs:                  44  out of    173    25%
540
 Number of BRAMs:                        15  out of     24    62%
541
 Number of GCLKs:                         3  out of      8    37%
542
 
543
---------------------------
544
Partition Resource Summary:
545
---------------------------
546
 
547
  No Partitions were found in this design.
548
 
549
---------------------------
550
 
551
 
552
=========================================================================
553
TIMING REPORT
554
 
555
NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
556
      FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
557
      GENERATED AFTER PLACE-and-ROUTE.
558
 
559
Clock Information:
560
------------------
561
-------------------------------------------------+------------------------+-------+
562
Clock Signal                                     | Clock buffer(FF name)  | Load  |
563
-------------------------------------------------+------------------------+-------+
564
clk2/div_clk1                                    | BUFG                   | 103   |
565
clk1/div_clk1                                    | BUFG                   | 160   |
566
clk                                              | BUFGP                  | 3     |
567
clk3/div_clk                                     | NONE(add1/addr_0)      | 16    |
568
vga2/count_and0000(vga2/count_and0000_wg_cy<5>:O)| NONE(*)(vga2/count)    | 1     |
569
-------------------------------------------------+------------------------+-------+
570
(*) This 1 clock signal(s) are generated by combinatorial logic,
571
and XST is not able to identify which are the primary clock signals.
572
Please use the CLOCK_SIGNAL constraint to specify the clock signal(s) generated by combinatorial logic.
573
INFO:Xst:2169 - HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems.
574
 
575
Asynchronous Control Signals Information:
576
----------------------------------------
577
-----------------------------------------------------------------------------------+------------------------------------------------------+-------+
578
Control Signal                                                                     | Buffer(FF name)                                      | Load  |
579
-----------------------------------------------------------------------------------+------------------------------------------------------+-------+
580
fifo1/BU2/U0/grf.rf/rstblk/rd_rst_reg<1>(fifo1/BU2/U0/grf.rf/rstblk/rd_rst_reg_1:Q)| NONE(fifo1/BU2/U0/grf.rf/gcx.clkx/wr_pntr_gc_asreg_9)| 56    |
581
fifo1/BU2/U0/grf.rf/rstblk/wr_rst_reg<0>(fifo1/BU2/U0/grf.rf/rstblk/wr_rst_reg_0:Q)| NONE(fifo1/BU2/U0/grf.rf/gcx.clkx/rd_pntr_gc_asreg_4)| 56    |
582
fifo1/BU2/U0/grf.rf/rstblk/wr_rst_reg<1>(fifo1/BU2/U0/grf.rf/rstblk/wr_rst_reg_1:Q)| NONE(fifo1/BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i)| 44    |
583
fifo1/BU2/U0/grf.rf/rstblk/rd_rst_reg<2>(fifo1/BU2/U0/grf.rf/rstblk/rd_rst_reg_2:Q)| NONE(fifo1/BU2/U0/grf.rf/gl0.rd/rpntr/count_d1_0)    | 30    |
584
fifo1/BU2/U0/grf.rf/rstblk/rd_rst_comb(fifo1/BU2/U0/grf.rf/rstblk/rd_rst_comb1:O)  | NONE(fifo1/BU2/U0/grf.rf/rstblk/rd_rst_reg_2)        | 3     |
585
fifo1/BU2/U0/grf.rf/rstblk/wr_rst_comb(fifo1/BU2/U0/grf.rf/rstblk/wr_rst_comb1:O)  | NONE(fifo1/BU2/U0/grf.rf/rstblk/wr_rst_reg_1)        | 2     |
586
reset                                                                              | IBUF                                                 | 2     |
587
-----------------------------------------------------------------------------------+------------------------------------------------------+-------+
588
 
589
Timing Summary:
590
---------------
591
Speed Grade: -4
592
 
593
   Minimum period: 7.055ns (Maximum Frequency: 141.743MHz)
594
   Minimum input arrival time before clock: 5.937ns
595
   Maximum output required time after clock: 8.839ns
596
   Maximum combinational path delay: No path found
597
 
598
Timing Detail:
599
--------------
600
All values displayed in nanoseconds (ns)
601
 
602
=========================================================================
603
Timing constraint: Default period analysis for Clock 'clk2/div_clk1'
604
  Clock period: 5.855ns (frequency: 170.794MHz)
605
  Total number of paths / destination ports: 555 / 213
606
-------------------------------------------------------------------------
607
Delay:               5.855ns (Levels of Logic = 2)
608
  Source:            fifo1/BU2/U0/grf.rf/rstblk/rd_rst_reg_0 (FF)
609
  Destination:       fifo1/BU2/U0/grf.rf/mem/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[14].ram.r/v2_noinit.ram/dp9x9.ram (RAM)
610
  Source Clock:      clk2/div_clk1 rising
611
  Destination Clock: clk2/div_clk1 rising
612
 
613
  Data Path: fifo1/BU2/U0/grf.rf/rstblk/rd_rst_reg_0 to fifo1/BU2/U0/grf.rf/mem/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[14].ram.r/v2_noinit.ram/dp9x9.ram
614
                                Gate     Net
615
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
616
    ----------------------------------------  ------------
617
     FDP:C->Q             16   0.720   1.305  U0/grf.rf/rstblk/rd_rst_reg_0 (U0/grf.rf/rstblk/rd_rst_reg<0>)
618
     LUT3:I2->O           18   0.551   1.443  U0/grf.rf/mem/tmp_ram_rd_en1 (U0/grf.rf/mem/tmp_ram_rd_en)
619
     LUT4:I3->O            1   0.551   0.801  U0/grf.rf/mem/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/bindec_b.bindec_inst_b/enout_7_mux00001 (U0/grf.rf/mem/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/enb_array<7>)
620
     RAMB16_S9_S9:ENB          0.484          U0/grf.rf/mem/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[14].ram.r/v2_noinit.ram/dp9x9.ram
621
    ----------------------------------------
622
    Total                      5.855ns (2.306ns logic, 3.549ns route)
623
                                       (39.4% logic, 60.6% route)
624
 
625
=========================================================================
626
Timing constraint: Default period analysis for Clock 'clk1/div_clk1'
627
  Clock period: 7.055ns (frequency: 141.743MHz)
628
  Total number of paths / destination ports: 1524 / 329
629
-------------------------------------------------------------------------
630
Delay:               7.055ns (Levels of Logic = 3)
631
  Source:            vga1/vcounter_0 (FF)
632
  Destination:       vga1/vcounter_0 (FF)
633
  Source Clock:      clk1/div_clk1 rising
634
  Destination Clock: clk1/div_clk1 rising
635
 
636
  Data Path: vga1/vcounter_0 to vga1/vcounter_0
637
                                Gate     Net
638
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
639
    ----------------------------------------  ------------
640
     FDRE:C->Q             3   0.720   1.246  vga1/vcounter_0 (vga1/vcounter_0)
641
     LUT4:I0->O            1   0.551   1.140  vga1/vcounter_or000010 (vga1/vcounter_or000010)
642
     LUT4_L:I0->LO         1   0.551   0.126  vga1/vcounter_or000075_SW0 (N27)
643
     LUT4:I3->O           11   0.551   1.144  vga1/vcounter_or000075 (vga1/vcounter_or0000)
644
     FDRE:R                    1.026          vga1/vcounter_0
645
    ----------------------------------------
646
    Total                      7.055ns (3.399ns logic, 3.656ns route)
647
                                       (48.2% logic, 51.8% route)
648
 
649
=========================================================================
650
Timing constraint: Default period analysis for Clock 'clk'
651
  Clock period: 4.430ns (frequency: 225.734MHz)
652
  Total number of paths / destination ports: 9 / 5
653
-------------------------------------------------------------------------
654
Delay:               4.430ns (Levels of Logic = 1)
655
  Source:            clk1/count_0 (FF)
656
  Destination:       clk1/count_0 (FF)
657
  Source Clock:      clk rising
658
  Destination Clock: clk rising
659
 
660
  Data Path: clk1/count_0 to clk1/count_0
661
                                Gate     Net
662
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
663
    ----------------------------------------  ------------
664
     FDR:C->Q              4   0.720   1.256  clk1/count_0 (clk1/count_0)
665
     LUT2:I0->O            2   0.551   0.877  clk1/count_cmp_ge00001 (clk1/count_cmp_ge0000)
666
     FDR:R                     1.026          clk1/count_0
667
    ----------------------------------------
668
    Total                      4.430ns (2.297ns logic, 2.133ns route)
669
                                       (51.9% logic, 48.1% route)
670
 
671
=========================================================================
672
Timing constraint: Default period analysis for Clock 'clk3/div_clk'
673
  Clock period: 6.327ns (frequency: 158.053MHz)
674
  Total number of paths / destination ports: 392 / 32
675
-------------------------------------------------------------------------
676
Delay:               6.327ns (Levels of Logic = 2)
677
  Source:            add1/addr_6 (FF)
678
  Destination:       add1/addr_0 (FF)
679
  Source Clock:      clk3/div_clk rising
680
  Destination Clock: clk3/div_clk rising
681
 
682
  Data Path: add1/addr_6 to add1/addr_0
683
                                Gate     Net
684
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
685
    ----------------------------------------  ------------
686
     FDR:C->Q              3   0.720   1.246  add1/addr_6 (add1/addr_6)
687
     LUT4:I0->O            1   0.551   0.996  add1/addr_and000016 (add1/addr_and000016)
688
     LUT4:I1->O           16   0.551   1.237  add1/addr_and000059 (add1/addr_and0000)
689
     FDR:R                     1.026          add1/addr_0
690
    ----------------------------------------
691
    Total                      6.327ns (2.848ns logic, 3.479ns route)
692
                                       (45.0% logic, 55.0% route)
693
 
694
=========================================================================
695
Timing constraint: Default period analysis for Clock 'vga2/count_and0000'
696
  Clock period: 3.797ns (frequency: 263.366MHz)
697
  Total number of paths / destination ports: 1 / 1
698
-------------------------------------------------------------------------
699
Delay:               3.797ns (Levels of Logic = 1)
700
  Source:            vga2/count (LATCH)
701
  Destination:       vga2/count (LATCH)
702
  Source Clock:      vga2/count_and0000 falling
703
  Destination Clock: vga2/count_and0000 falling
704
 
705
  Data Path: vga2/count to vga2/count
706
                                Gate     Net
707
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
708
    ----------------------------------------  ------------
709
     LDE:G->Q             10   0.633   1.134  vga2/count (vga2/count)
710
     INV:I->O              2   0.551   0.877  vga2/read_memory1_INV_0 (read_memory_OBUF)
711
     LDE:GE                    0.602          vga2/count
712
    ----------------------------------------
713
    Total                      3.797ns (1.786ns logic, 2.011ns route)
714
                                       (47.0% logic, 53.0% route)
715
 
716
=========================================================================
717
Timing constraint: Default OFFSET IN BEFORE for Clock 'clk1/div_clk1'
718
  Total number of paths / destination ports: 37 / 34
719
-------------------------------------------------------------------------
720
Offset:              5.937ns (Levels of Logic = 3)
721
  Source:            reset (PAD)
722
  Destination:       vga2/pixel_state_FFd3 (FF)
723
  Destination Clock: clk1/div_clk1 rising
724
 
725
  Data Path: reset to vga2/pixel_state_FFd3
726
                                Gate     Net
727
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
728
    ----------------------------------------  ------------
729
     IBUF:I->O            13   0.821   1.365  reset_IBUF (reset_IBUF)
730
     LUT2:I1->O            1   0.551   1.140  vga2/red_in_not0001296_SW1 (N24)
731
     LUT4:I0->O            3   0.551   0.907  vga2/pixel_state_not00011 (vga2/pixel_state_not0001)
732
     FDE:CE                    0.602          vga2/pixel_state_FFd3
733
    ----------------------------------------
734
    Total                      5.937ns (2.525ns logic, 3.412ns route)
735
                                       (42.5% logic, 57.5% route)
736
 
737
=========================================================================
738
Timing constraint: Default OFFSET OUT AFTER for Clock 'vga2/count_and0000'
739
  Total number of paths / destination ports: 2 / 2
740
-------------------------------------------------------------------------
741
Offset:              8.839ns (Levels of Logic = 2)
742
  Source:            vga2/count (LATCH)
743
  Destination:       read_memory (PAD)
744
  Source Clock:      vga2/count_and0000 falling
745
 
746
  Data Path: vga2/count to read_memory
747
                                Gate     Net
748
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
749
    ----------------------------------------  ------------
750
     LDE:G->Q             10   0.633   1.134  vga2/count (vga2/count)
751
     INV:I->O              2   0.551   0.877  vga2/read_memory1_INV_0 (read_memory_OBUF)
752
     OBUF:I->O                 5.644          read_memory_OBUF (read_memory)
753
    ----------------------------------------
754
    Total                      8.839ns (6.828ns logic, 2.011ns route)
755
                                       (77.2% logic, 22.8% route)
756
 
757
=========================================================================
758
Timing constraint: Default OFFSET OUT AFTER for Clock 'clk1/div_clk1'
759
  Total number of paths / destination ports: 6 / 6
760
-------------------------------------------------------------------------
761
Offset:              7.241ns (Levels of Logic = 2)
762
  Source:            fifo1/BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i (FF)
763
  Destination:       fifo_full (PAD)
764
  Source Clock:      clk1/div_clk1 rising
765
 
766
  Data Path: fifo1/BU2/U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i to fifo_full
767
                                Gate     Net
768
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
769
    ----------------------------------------  ------------
770
     FDP:C->Q              2   0.720   0.877  U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i (full)
771
     end scope: 'BU2'
772
     end scope: 'fifo1'
773
     OBUF:I->O                 5.644          fifo_full_OBUF (fifo_full)
774
    ----------------------------------------
775
    Total                      7.241ns (6.364ns logic, 0.877ns route)
776
                                       (87.9% logic, 12.1% route)
777
 
778
=========================================================================
779
Timing constraint: Default OFFSET OUT AFTER for Clock 'clk2/div_clk1'
780
  Total number of paths / destination ports: 1 / 1
781
-------------------------------------------------------------------------
782
Offset:              7.241ns (Levels of Logic = 2)
783
  Source:            fifo1/BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_i (FF)
784
  Destination:       fifo_empty (PAD)
785
  Source Clock:      clk2/div_clk1 rising
786
 
787
  Data Path: fifo1/BU2/U0/grf.rf/gl0.rd/gras.rsts/ram_empty_i to fifo_empty
788
                                Gate     Net
789
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
790
    ----------------------------------------  ------------
791
     FDP:C->Q              2   0.720   0.877  U0/grf.rf/gl0.rd/gras.rsts/ram_empty_i (empty)
792
     end scope: 'BU2'
793
     end scope: 'fifo1'
794
     OBUF:I->O                 5.644          fifo_empty_OBUF (fifo_empty)
795
    ----------------------------------------
796
    Total                      7.241ns (6.364ns logic, 0.877ns route)
797
                                       (87.9% logic, 12.1% route)
798
 
799
=========================================================================
800
Timing constraint: Default OFFSET OUT AFTER for Clock 'clk3/div_clk'
801
  Total number of paths / destination ports: 16 / 16
802
-------------------------------------------------------------------------
803
Offset:              7.271ns (Levels of Logic = 1)
804
  Source:            add1/addr_15 (FF)
805
  Destination:       addr<15> (PAD)
806
  Source Clock:      clk3/div_clk rising
807
 
808
  Data Path: add1/addr_15 to addr<15>
809
                                Gate     Net
810
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
811
    ----------------------------------------  ------------
812
     FDR:C->Q              3   0.720   0.907  add1/addr_15 (add1/addr_15)
813
     OBUF:I->O                 5.644          addr_15_OBUF (addr<15>)
814
    ----------------------------------------
815
    Total                      7.271ns (6.364ns logic, 0.907ns route)
816
                                       (87.5% logic, 12.5% route)
817
 
818
=========================================================================
819
 
820
 
821
Total REAL time to Xst completion: 16.00 secs
822
Total CPU time to Xst completion: 15.63 secs
823
 
824
-->
825
 
826
Total memory usage is 159604 kilobytes
827
 
828
Number of errors   :    0 (   0 filtered)
829
Number of warnings :    8 (   0 filtered)
830
Number of infos    :    9 (   0 filtered)
831
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.