OpenCores
URL https://opencores.org/ocsvn/bu_pacman/bu_pacman/trunk

Subversion Repositories bu_pacman

[/] [bu_pacman/] [tags/] [arelease/] [vga_display.v] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 soloist_hu
`timescale 1ns / 1ps
2
//////////////////////////////////////////////////////////////////////////////////
3
// Company: 
4
// Engineer: 
5
// 
6
// Create Date:    17:20:09 11/19/2008 
7
// Design Name: 
8
// Module Name:    vga_display 
9
// Project Name: 
10
// Target Devices: 
11
// Tool versions: 
12
// Description: 
13
//
14
// Dependencies: 
15
//
16
// Revision: 
17
// Revision 0.01 - File Created
18
// Additional Comments: 
19
//
20
//////////////////////////////////////////////////////////////////////////////////
21
module vga_display(reset,pixel_clk,blank,red_in,blue_in,green_in,hcounter,vcounter,red_out,green_out,blue_out,count);
22
 
23
//Inputs
24
input pixel_clk,reset,blank,count;
25
input red_in,blue_in,green_in;
26
input [10:0] hcounter, vcounter;
27
 
28
//Outputs
29
output red_out,green_out,blue_out;
30
 
31
//regs
32
reg red_out, green_out, blue_out;
33
 
34
 
35
//parameters
36
parameter [8:0] xpos_start = 192;
37
parameter [8:0] ypos_start = 48;
38
parameter [8:0] xpos_end = 448;
39
parameter [8:0] ypos_end = 432;
40
 
41
parameter [8:0] score_pos = 450;
42
 
43
always @ (posedge pixel_clk)
44
begin
45
if(count == 1) begin
46
        if(blank | reset) begin
47
                                red_out <= 0;
48
                                green_out <= 0;
49
                                blue_out <= 0;
50
                        end
51
 
52
        else if(vcounter >= ypos_start && vcounter < ypos_end) begin
53
                if(hcounter >= xpos_start && hcounter < xpos_end)
54
                        begin
55
                                red_out <= red_in;
56
                                green_out <= green_in;
57
                                blue_out <= blue_in;
58
                        end
59
                else
60
                        begin
61
                                red_out <= 1;
62
                                green_out <= 1;
63
                                blue_out <= 0;
64
                        end
65
                end
66
 
67
        else
68
        begin
69
                        red_out <= 1;
70
                        green_out <= 1;
71
                        blue_out <= 0;
72
                end
73
end
74
end
75
 
76
 
77
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.