OpenCores
URL https://opencores.org/ocsvn/bw_tiff_compression/bw_tiff_compression/trunk

Subversion Repositories bw_tiff_compression

[/] [bw_tiff_compression/] [trunk/] [capture_manager_top_nexys.vhd] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 amulder
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer:       Aart Mulder
4
-- 
5
-- Create Date:    12:22:01 05/22/2013 
6
-- Design Name:    Tiff compression 
7
-- Module Name:    capture_manager_top_nexys - Behavioral 
8
-- Target Devices: Digilent Nexys2-1200(spartan 3E-1200)
9
-- Description:    
10
--
11
----------------------------------------------------------------------------------
12
library IEEE;
13
use IEEE.STD_LOGIC_1164.ALL;
14
use IEEE.NUMERIC_STD.ALL;
15
 
16
entity capture_manager_top_nexys is
17
        Generic (
18
                COLUMNS_G            : integer := 752;
19
                ROWS_G               : integer := 480;
20
                COL_INDEX_WIDTH_G    : integer := 10;
21
                ROW_INDEX_WIDTH_G    : integer := 9;
22
 
23
                MAX_CODE_LEN_G       : integer := 28;
24
                MAX_CODE_LEN_WIDTH_G : integer := 5;
25
                SEG_OUTPUT_WIDTH_G   : integer := 8;
26
 
27
                TX_MEMORY_SIZE_G            : integer := 2;
28
                TX_MEMORY_ADDRESS_WIDTH_G   : integer := 12;
29
 
30
                --@26.6MHz
31
                BAUD_DIVIDE_G        : integer := 15;   --115200 baud
32
                BAUD_RATE_G          : integer := 231
33
        );
34
        Port
35
        (
36
                reset_i   : in  STD_LOGIC;
37
 
38
                fsync_i   : in  STD_LOGIC;
39
                rsync_i   : in  STD_LOGIC;
40
                pclk_i    : in  STD_LOGIC;
41
                pix_data_i: in  STD_LOGIC_VECTOR(7 downto 0);
42
 
43
                vga_fsync_o : out STD_LOGIC;
44
                vga_rsync_o : out STD_LOGIC;
45
                vgaRed      : out STD_LOGIC_VECTOR(7 downto 5);
46
                vgaGreen    : out STD_LOGIC_VECTOR(7 downto 5);
47
                vgaBlue     : out STD_LOGIC_VECTOR(7 downto 6);
48
 
49
                TX_o    : out STD_LOGIC;
50
                RX_i    : in STD_LOGIC;
51
 
52
                led0_o  : out STD_LOGIC;
53
                led1_o  : out STD_LOGIC;
54
                led2_o  : out STD_LOGIC;
55
                led3_o  : out STD_LOGIC;
56
 
57
                sw_i : in STD_LOGIC_VECTOR(6 downto 0)
58
        );
59
end capture_manager_top_nexys;
60
 
61
architecture Behavioral of capture_manager_top_nexys is
62
 
63
begin
64
        capture_manager_ins : entity work.capture_manager
65
        generic map(
66
                COLUMNS_G                 => COLUMNS_G,
67
                ROWS_G                    => ROWS_G,
68
                COL_INDEX_WIDTH_G         => COL_INDEX_WIDTH_G,
69
                ROW_INDEX_WIDTH_G         => ROW_INDEX_WIDTH_G,
70
                MAX_CODE_LEN_G            => MAX_CODE_LEN_G,
71
                MAX_CODE_LEN_WIDTH_G      => MAX_CODE_LEN_WIDTH_G,
72
                SEG_OUTPUT_WIDTH_G        => SEG_OUTPUT_WIDTH_G,
73
                TX_MEMORY_SIZE_G          => TX_MEMORY_SIZE_G,
74
                TX_MEMORY_ADDRESS_WIDTH_G => TX_MEMORY_ADDRESS_WIDTH_G,
75
                BAUD_DIVIDE_G             => BAUD_DIVIDE_G,
76
                BAUD_RATE_G               => BAUD_RATE_G
77
        )
78
        port map(
79
                reset_i                     => reset_i,
80
                fsync_i                     => fsync_i,
81
                rsync_i                     => rsync_i,
82
                pclk_i                      => pclk_i,
83
                pix_data_i                  => pix_data_i,
84
                vga_fsync_o                 => vga_fsync_o,
85
                vga_rsync_o                 => vga_rsync_o,
86
                vgaRed                      => vgaRed,
87
                vgaGreen                    => vgaGreen,
88
                vgaBlue                     => vgaBlue,
89
                TX_o                        => TX_o,
90
                RX_i                        => RX_i,
91
                led0_o                      => led0_o,
92
                led1_o                      => led1_o,
93
                led2_o                      => led2_o,
94
                led3_o                      => led3_o,
95 8 amulder
                sw_i                        => sw_i,
96
                --Used for testbench purposes
97
                CCITT4_run_len_code_o       => open,
98
                CCITT4_run_len_code_width_o => open,
99
                CCITT4_run_len_code_valid_o => open,
100
                CCITT4_frame_finished_o     => open
101 2 amulder
        );
102
 
103
end Behavioral;
104
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.