OpenCores
URL https://opencores.org/ocsvn/c16/c16/trunk

Subversion Repositories c16

[/] [c16/] [trunk/] [vhdl/] [cpu16.npl] - Blame information for rev 33

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jsauermann
JDF F
2
// Created by Project Navigator ver 1.0
3
PROJECT cpu16
4
DESIGN cpu16 Normal
5
DEVFAM virtexe
6
DEVFAMTIME 1064066933
7
DEVICE xcv100e
8
DEVICETIME 1064066933
9
DEVPKG pq240
10
DEVPKGTIME 1064066933
11
DEVSPEED -6
12
DEVSPEEDTIME 1064065691
13
FLOW XST VHDL
14
FLOWTIME 0
15
STIMULUS test.vhd Normal
16
STIMULUS cpu_test.vhd Normal
17
MODULE memory.vhd
18
MODSTYLE memory Normal
19
MODULE uart_rx.vhd
20
MODSTYLE uart_rx Normal
21
MODULE uart_tx.vhd
22
MODSTYLE uart_tx Normal
23
MODULE alu8.vhd
24
MODSTYLE alu8 Normal
25
MODULE cpu.vhd
26
MODSTYLE cpu16 Normal
27
MODULE temperature.vhd
28
MODSTYLE temperature Normal
29
MODULE cpu_engine.vhd
30
MODSTYLE cpu_engine Normal
31
MODULE data_core.vhd
32
MODSTYLE data_core Normal
33
MODULE uart.vhd
34
MODSTYLE uart Normal
35
MODULE uart._baudgen.vhd
36
MODSTYLE uart_baudgen Normal
37
MODULE opcode_decoder.vhd
38
MODSTYLE opcode_decoder Normal
39
MODULE opcode_fetch.vhd
40
MODSTYLE opcode_fetch Normal
41
MODULE select_yy.vhd
42
MODSTYLE select_yy Normal
43
MODULE Board_cpu.vhd
44
MODSTYLE board_cpu Normal
45
MODULE BaudGen.vhd
46
MODSTYLE baudgen Normal
47
MODULE input_output.vhd
48
MODSTYLE input_output Normal
49
MODULE ds1722.vhd
50
MODSTYLE ds1722 Normal
51
MODULE bin_to_7segment.vhd
52
MODSTYLE bin_to_7segment Normal
53
LIBFILE mem_content.vhd work ***
54
LIBFILE cpu_pack.vhd work ***
55
DEPASSOC board_cpu board_cpu.ucf SYSTEM
56
[Normal]
57
p_ModelSimSignalWin=xstvhd, virtexe, Module VHDL Test Bench.t_MSimulateBehavioralVhdlModel, 1056198882, False
58
p_ModelSimStructWin=xstvhd, virtexe, Module VHDL Test Bench.t_MSimulateBehavioralVhdlModel, 1056198882, False
59
_SynthExtractROM=xstvhd, virtexe, Schematic.t_synthesize, 1064066560, False
60
[STRATEGY-LIST]
61
Normal=True

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.