OpenCores
URL https://opencores.org/ocsvn/can/can/trunk

Subversion Repositories can

[/] [can/] [tags/] [asyst_2/] [rtl/] [verilog/] [can_acf.v] - Blame information for rev 161

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  can_acf.v                                                   ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the CAN Protocol Controller            ////
7
////  http://www.opencores.org/projects/can/                      ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15
////  All additional information is available in the README.txt   ////
16
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2002, 2003 Authors                             ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43 28 mohor
//// The CAN protocol is developed by Robert Bosch GmbH and       ////
44
//// protected by patents. Anybody who wants to implement this    ////
45
//// CAN IP core on silicon has to obtain a CAN protocol license  ////
46
//// from Bosch.                                                  ////
47
////                                                              ////
48 11 mohor
//////////////////////////////////////////////////////////////////////
49
//
50
// CVS Revision History
51
//
52
// $Log: not supported by cvs2svn $
53 108 mohor
// Revision 1.6  2003/02/10 16:02:11  mohor
54
// CAN is working according to the specification. WB interface and more
55
// registers (status, IRQ, ...) needs to be added.
56
//
57 30 mohor
// Revision 1.5  2003/02/09 18:40:29  mohor
58
// Overload fixed. Hard synchronization also enabled at the last bit of
59
// interframe.
60
//
61 29 mohor
// Revision 1.4  2003/02/09 02:24:33  mohor
62
// Bosch license warning added. Error counters finished. Overload frames
63
// still need to be fixed.
64
//
65 28 mohor
// Revision 1.3  2003/01/31 01:13:37  mohor
66
// backup.
67
//
68 24 mohor
// Revision 1.2  2003/01/14 12:19:35  mohor
69
// rx_fifo is now working.
70
//
71 16 mohor
// Revision 1.1  2003/01/08 02:13:15  mohor
72
// Acceptance filter added.
73 11 mohor
//
74
//
75
//
76 16 mohor
//
77 11 mohor
 
78
// synopsys translate_off
79
`include "timescale.v"
80
// synopsys translate_on
81
`include "can_defines.v"
82
 
83
module can_acf
84
(
85
  clk,
86
  rst,
87
 
88
  id,
89
 
90
  /* Mode register */
91
  reset_mode,
92
  acceptance_filter_mode,
93
 
94
  extended_mode,
95
 
96
  acceptance_code_0,
97
  acceptance_code_1,
98
  acceptance_code_2,
99
  acceptance_code_3,
100
  acceptance_mask_0,
101
  acceptance_mask_1,
102
  acceptance_mask_2,
103
  acceptance_mask_3,
104
 
105
  go_rx_crc_lim,
106 29 mohor
  go_rx_inter,
107 30 mohor
  go_error_frame,
108 11 mohor
 
109
  data0,
110
  data1,
111
  rtr1,
112
  rtr2,
113
  ide,
114 16 mohor
  no_byte0,
115
  no_byte1,
116 11 mohor
 
117
 
118
  id_ok
119
 
120
 
121
);
122
 
123
parameter Tp = 1;
124
 
125
input         clk;
126
input         rst;
127
input  [28:0] id;
128
input         reset_mode;
129
input         acceptance_filter_mode;
130
input         extended_mode;
131
 
132
input   [7:0] acceptance_code_0;
133
input   [7:0] acceptance_code_1;
134
input   [7:0] acceptance_code_2;
135
input   [7:0] acceptance_code_3;
136
input   [7:0] acceptance_mask_0;
137
input   [7:0] acceptance_mask_1;
138
input   [7:0] acceptance_mask_2;
139
input   [7:0] acceptance_mask_3;
140
input         go_rx_crc_lim;
141 29 mohor
input         go_rx_inter;
142 30 mohor
input         go_error_frame;
143 11 mohor
input   [7:0] data0;
144
input   [7:0] data1;
145
input         rtr1;
146
input         rtr2;
147
input         ide;
148 16 mohor
input         no_byte0;
149
input         no_byte1;
150 11 mohor
 
151
 
152
output        id_ok;
153
 
154
reg           id_ok;
155
 
156
wire          match;
157
wire          match_sf_std;
158
wire          match_sf_ext;
159
wire          match_df_std;
160
wire          match_df_ext;
161
 
162
 
163
// Working in basic mode. ID match for standard format (11-bit ID).
164
assign match =        ( (id[3]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
165
                        (id[4]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
166
                        (id[5]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
167
                        (id[6]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
168
                        (id[7]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
169
                        (id[8]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
170
                        (id[9]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
171
                        (id[10] == acceptance_code_0[7] | acceptance_mask_0[7] )
172
                      );
173
 
174
 
175
// Working in extended mode. ID match for standard format (11-bit ID). Using single filter.
176
assign match_sf_std = ( (id[3]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
177
                        (id[4]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
178
                        (id[5]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
179
                        (id[6]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
180
                        (id[7]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
181
                        (id[8]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
182
                        (id[9]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
183
                        (id[10] == acceptance_code_0[7] | acceptance_mask_0[7] ) &
184
 
185
                        (rtr1   == acceptance_code_1[4] | acceptance_mask_1[4] ) &
186
                        (id[0]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
187
                        (id[1]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
188
                        (id[2]  == acceptance_code_1[7] | acceptance_mask_1[7] ) &
189
 
190 16 mohor
                        (data0[0]  == acceptance_code_2[0] | acceptance_mask_2[0] | no_byte0) &
191
                        (data0[1]  == acceptance_code_2[1] | acceptance_mask_2[1] | no_byte0) &
192
                        (data0[2]  == acceptance_code_2[2] | acceptance_mask_2[2] | no_byte0) &
193
                        (data0[3]  == acceptance_code_2[3] | acceptance_mask_2[3] | no_byte0) &
194
                        (data0[4]  == acceptance_code_2[4] | acceptance_mask_2[4] | no_byte0) &
195
                        (data0[5]  == acceptance_code_2[5] | acceptance_mask_2[5] | no_byte0) &
196
                        (data0[6]  == acceptance_code_2[6] | acceptance_mask_2[6] | no_byte0) &
197
                        (data0[7]  == acceptance_code_2[7] | acceptance_mask_2[7] | no_byte0) &
198 11 mohor
 
199 16 mohor
                        (data1[0]  == acceptance_code_3[0] | acceptance_mask_3[0] | no_byte1) &
200
                        (data1[1]  == acceptance_code_3[1] | acceptance_mask_3[1] | no_byte1) &
201
                        (data1[2]  == acceptance_code_3[2] | acceptance_mask_3[2] | no_byte1) &
202
                        (data1[3]  == acceptance_code_3[3] | acceptance_mask_3[3] | no_byte1) &
203
                        (data1[4]  == acceptance_code_3[4] | acceptance_mask_3[4] | no_byte1) &
204
                        (data1[5]  == acceptance_code_3[5] | acceptance_mask_3[5] | no_byte1) &
205
                        (data1[6]  == acceptance_code_3[6] | acceptance_mask_3[6] | no_byte1) &
206
                        (data1[7]  == acceptance_code_3[7] | acceptance_mask_3[7] | no_byte1)
207 11 mohor
                      );
208
 
209
 
210 16 mohor
 
211 11 mohor
// Working in extended mode. ID match for extended format (29-bit ID). Using single filter.
212
assign match_sf_ext = ( (id[21]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
213
                        (id[22]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
214
                        (id[23]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
215
                        (id[24]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
216
                        (id[25]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
217
                        (id[26]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
218
                        (id[27]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
219
                        (id[28]  == acceptance_code_0[7] | acceptance_mask_0[7] ) &
220
 
221
                        (id[13]  == acceptance_code_1[0] | acceptance_mask_1[0] ) &
222
                        (id[14]  == acceptance_code_1[1] | acceptance_mask_1[1] ) &
223
                        (id[15]  == acceptance_code_1[2] | acceptance_mask_1[2] ) &
224
                        (id[16]  == acceptance_code_1[3] | acceptance_mask_1[3] ) &
225
                        (id[17]  == acceptance_code_1[4] | acceptance_mask_1[4] ) &
226
                        (id[18]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
227
                        (id[19]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
228
                        (id[20]  == acceptance_code_1[7] | acceptance_mask_1[7] ) &
229
 
230
                        (id[5]  == acceptance_code_2[0] | acceptance_mask_2[0] ) &
231
                        (id[6]  == acceptance_code_2[1] | acceptance_mask_2[1] ) &
232
                        (id[7]  == acceptance_code_2[2] | acceptance_mask_2[2] ) &
233
                        (id[8]  == acceptance_code_2[3] | acceptance_mask_2[3] ) &
234
                        (id[9]  == acceptance_code_2[4] | acceptance_mask_2[4] ) &
235
                        (id[10] == acceptance_code_2[5] | acceptance_mask_2[5] ) &
236
                        (id[11] == acceptance_code_2[6] | acceptance_mask_2[6] ) &
237
                        (id[12] == acceptance_code_2[7] | acceptance_mask_2[7] ) &
238
 
239
                        (rtr2   == acceptance_code_3[2] | acceptance_mask_3[2] ) &
240
                        (id[0]  == acceptance_code_3[3] | acceptance_mask_3[3] ) &
241
                        (id[1]  == acceptance_code_3[4] | acceptance_mask_3[4] ) &
242
                        (id[2]  == acceptance_code_3[5] | acceptance_mask_3[5] ) &
243
                        (id[3]  == acceptance_code_3[6] | acceptance_mask_3[6] ) &
244
                        (id[4]  == acceptance_code_3[7] | acceptance_mask_3[7] )
245
 
246
                      );
247
 
248
 
249
// Working in extended mode. ID match for standard format (11-bit ID). Using double filter.
250
assign match_df_std = (((id[3]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
251
                        (id[4]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
252
                        (id[5]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
253
                        (id[6]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
254
                        (id[7]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
255
                        (id[8]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
256
                        (id[9]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
257
                        (id[10] == acceptance_code_0[7] | acceptance_mask_0[7] ) &
258
 
259
                        (rtr1   == acceptance_code_1[4] | acceptance_mask_1[4] ) &
260
                        (id[0]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
261
                        (id[1]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
262
                        (id[2]  == acceptance_code_1[7] | acceptance_mask_1[7] ) &
263
 
264 16 mohor
                        (data0[0] == acceptance_code_3[0] | acceptance_mask_3[0] | no_byte0) &
265
                        (data0[1] == acceptance_code_3[1] | acceptance_mask_3[1] | no_byte0) &
266
                        (data0[2] == acceptance_code_3[2] | acceptance_mask_3[2] | no_byte0) &
267
                        (data0[3] == acceptance_code_3[3] | acceptance_mask_3[3] | no_byte0) &
268
                        (data0[4] == acceptance_code_1[4] | acceptance_mask_1[4] | no_byte0) &
269
                        (data0[5] == acceptance_code_1[5] | acceptance_mask_1[5] | no_byte0) &
270
                        (data0[6] == acceptance_code_1[6] | acceptance_mask_1[6] | no_byte0) &
271
                        (data0[7] == acceptance_code_1[7] | acceptance_mask_1[7] | no_byte0) )
272 11 mohor
 
273
                       |
274
 
275
                       ((id[3]  == acceptance_code_2[0] | acceptance_mask_2[0] ) &
276
                        (id[4]  == acceptance_code_2[1] | acceptance_mask_2[1] ) &
277
                        (id[5]  == acceptance_code_2[2] | acceptance_mask_2[2] ) &
278
                        (id[6]  == acceptance_code_2[3] | acceptance_mask_2[3] ) &
279
                        (id[7]  == acceptance_code_2[4] | acceptance_mask_2[4] ) &
280
                        (id[8]  == acceptance_code_2[5] | acceptance_mask_2[5] ) &
281
                        (id[9]  == acceptance_code_2[6] | acceptance_mask_2[6] ) &
282
                        (id[10] == acceptance_code_2[7] | acceptance_mask_2[7] ) &
283
 
284
                        (rtr1   == acceptance_code_3[4] | acceptance_mask_3[4] ) &
285
                        (id[0]  == acceptance_code_3[5] | acceptance_mask_3[5] ) &
286
                        (id[1]  == acceptance_code_3[6] | acceptance_mask_3[6] ) &
287
                        (id[2]  == acceptance_code_3[7] | acceptance_mask_3[7] ) )
288
 
289
                      );
290
 
291
 
292
// Working in extended mode. ID match for extended format (29-bit ID). Using double filter.
293
assign match_df_ext = (((id[21]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
294
                        (id[22]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
295
                        (id[23]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
296
                        (id[24]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
297
                        (id[25]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
298
                        (id[26]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
299
                        (id[27]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
300
                        (id[28]  == acceptance_code_0[7] | acceptance_mask_0[7] ) &
301
 
302
                        (id[13]  == acceptance_code_1[0] | acceptance_mask_1[0] ) &
303
                        (id[14]  == acceptance_code_1[1] | acceptance_mask_1[1] ) &
304
                        (id[15]  == acceptance_code_1[2] | acceptance_mask_1[2] ) &
305
                        (id[16]  == acceptance_code_1[3] | acceptance_mask_1[3] ) &
306
                        (id[17]  == acceptance_code_1[4] | acceptance_mask_1[4] ) &
307
                        (id[18]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
308
                        (id[19]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
309
                        (id[20]  == acceptance_code_1[7] | acceptance_mask_1[7] ) )
310
 
311
                       |
312
 
313
                       ((id[21]  == acceptance_code_2[0] | acceptance_mask_2[0] ) &
314
                        (id[22]  == acceptance_code_2[1] | acceptance_mask_2[1] ) &
315
                        (id[23]  == acceptance_code_2[2] | acceptance_mask_2[2] ) &
316
                        (id[24]  == acceptance_code_2[3] | acceptance_mask_2[3] ) &
317
                        (id[25]  == acceptance_code_2[4] | acceptance_mask_2[4] ) &
318
                        (id[26]  == acceptance_code_2[5] | acceptance_mask_2[5] ) &
319
                        (id[27]  == acceptance_code_2[6] | acceptance_mask_2[6] ) &
320
                        (id[28]  == acceptance_code_2[7] | acceptance_mask_2[7] ) &
321
 
322
                        (id[13]  == acceptance_code_3[0] | acceptance_mask_3[0] ) &
323
                        (id[14]  == acceptance_code_3[1] | acceptance_mask_3[1] ) &
324
                        (id[15]  == acceptance_code_3[2] | acceptance_mask_3[2] ) &
325
                        (id[16]  == acceptance_code_3[3] | acceptance_mask_3[3] ) &
326
                        (id[17]  == acceptance_code_3[4] | acceptance_mask_3[4] ) &
327
                        (id[18]  == acceptance_code_3[5] | acceptance_mask_3[5] ) &
328
                        (id[19]  == acceptance_code_3[6] | acceptance_mask_3[6] ) &
329
                        (id[20]  == acceptance_code_3[7] | acceptance_mask_3[7] ) )
330
                      );
331
 
332
 
333
 
334
// ID ok signal generation
335
always @ (posedge clk or posedge rst)
336
begin
337
  if (rst)
338 108 mohor
    id_ok <= 1'b0;
339 24 mohor
  else if (go_rx_crc_lim)                   // sample_point is already included in go_rx_crc_lim
340 11 mohor
    begin
341
      if (extended_mode)
342
        begin
343
          if (acceptance_filter_mode)       // dual filter
344
            begin
345 29 mohor
              if (ide)                      // extended frame message
346 11 mohor
                id_ok <=#Tp match_df_ext;
347 29 mohor
              else                          // standard frame message
348 11 mohor
                id_ok <=#Tp match_df_std;
349
            end
350
          else                              // single filter
351
            begin
352
              if (ide)                      // extended frame message
353
                id_ok <=#Tp match_sf_ext;
354
              else                          // standard frame message
355
                id_ok <=#Tp match_sf_std;
356
            end
357
        end
358
      else
359
        id_ok <=#Tp match;
360
    end
361 30 mohor
  else if (reset_mode | go_rx_inter | go_error_frame)        // sample_point is already included in go_rx_inter
362 108 mohor
    id_ok <=#Tp 1'b0;
363 11 mohor
end
364
 
365
 
366
 
367
 
368
 
369
 
370
 
371
 
372
 
373
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.