OpenCores
URL https://opencores.org/ocsvn/can/can/trunk

Subversion Repositories can

[/] [can/] [tags/] [asyst_2/] [rtl/] [verilog/] [can_acf.v] - Blame information for rev 28

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  can_acf.v                                                   ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the CAN Protocol Controller            ////
7
////  http://www.opencores.org/projects/can/                      ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15
////  All additional information is available in the README.txt   ////
16
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2002, 2003 Authors                             ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43 28 mohor
//// The CAN protocol is developed by Robert Bosch GmbH and       ////
44
//// protected by patents. Anybody who wants to implement this    ////
45
//// CAN IP core on silicon has to obtain a CAN protocol license  ////
46
//// from Bosch.                                                  ////
47
////                                                              ////
48 11 mohor
//////////////////////////////////////////////////////////////////////
49
//
50
// CVS Revision History
51
//
52
// $Log: not supported by cvs2svn $
53 28 mohor
// Revision 1.3  2003/01/31 01:13:37  mohor
54
// backup.
55
//
56 24 mohor
// Revision 1.2  2003/01/14 12:19:35  mohor
57
// rx_fifo is now working.
58
//
59 16 mohor
// Revision 1.1  2003/01/08 02:13:15  mohor
60
// Acceptance filter added.
61 11 mohor
//
62
//
63
//
64 16 mohor
//
65 11 mohor
 
66
// synopsys translate_off
67
`include "timescale.v"
68
// synopsys translate_on
69
`include "can_defines.v"
70
 
71
module can_acf
72
(
73
  clk,
74
  rst,
75
 
76
  id,
77
 
78
  /* Mode register */
79
  reset_mode,
80
  acceptance_filter_mode,
81
 
82
  extended_mode,
83
 
84
  acceptance_code_0,
85
  acceptance_code_1,
86
  acceptance_code_2,
87
  acceptance_code_3,
88
  acceptance_mask_0,
89
  acceptance_mask_1,
90
  acceptance_mask_2,
91
  acceptance_mask_3,
92
 
93
  go_rx_crc_lim,
94
  go_rx_idle,
95
 
96
  data0,
97
  data1,
98
  rtr1,
99
  rtr2,
100
  ide,
101 16 mohor
  no_byte0,
102
  no_byte1,
103 11 mohor
 
104
 
105
  id_ok
106
 
107
 
108
);
109
 
110
parameter Tp = 1;
111
 
112
input         clk;
113
input         rst;
114
input  [28:0] id;
115
input         reset_mode;
116
input         acceptance_filter_mode;
117
input         extended_mode;
118
 
119
input   [7:0] acceptance_code_0;
120
input   [7:0] acceptance_code_1;
121
input   [7:0] acceptance_code_2;
122
input   [7:0] acceptance_code_3;
123
input   [7:0] acceptance_mask_0;
124
input   [7:0] acceptance_mask_1;
125
input   [7:0] acceptance_mask_2;
126
input   [7:0] acceptance_mask_3;
127
input         go_rx_crc_lim;
128
input         go_rx_idle;
129
input   [7:0] data0;
130
input   [7:0] data1;
131
input         rtr1;
132
input         rtr2;
133
input         ide;
134 16 mohor
input         no_byte0;
135
input         no_byte1;
136 11 mohor
 
137
 
138
output        id_ok;
139
 
140
reg           id_ok;
141
 
142
wire          match;
143
wire          match_sf_std;
144
wire          match_sf_ext;
145
wire          match_df_std;
146
wire          match_df_ext;
147
 
148
 
149
// Working in basic mode. ID match for standard format (11-bit ID).
150
assign match =        ( (id[3]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
151
                        (id[4]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
152
                        (id[5]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
153
                        (id[6]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
154
                        (id[7]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
155
                        (id[8]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
156
                        (id[9]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
157
                        (id[10] == acceptance_code_0[7] | acceptance_mask_0[7] )
158
                      );
159
 
160
 
161
// Working in extended mode. ID match for standard format (11-bit ID). Using single filter.
162
assign match_sf_std = ( (id[3]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
163
                        (id[4]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
164
                        (id[5]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
165
                        (id[6]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
166
                        (id[7]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
167
                        (id[8]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
168
                        (id[9]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
169
                        (id[10] == acceptance_code_0[7] | acceptance_mask_0[7] ) &
170
 
171
                        (rtr1   == acceptance_code_1[4] | acceptance_mask_1[4] ) &
172
                        (id[0]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
173
                        (id[1]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
174
                        (id[2]  == acceptance_code_1[7] | acceptance_mask_1[7] ) &
175
 
176 16 mohor
                        (data0[0]  == acceptance_code_2[0] | acceptance_mask_2[0] | no_byte0) &
177
                        (data0[1]  == acceptance_code_2[1] | acceptance_mask_2[1] | no_byte0) &
178
                        (data0[2]  == acceptance_code_2[2] | acceptance_mask_2[2] | no_byte0) &
179
                        (data0[3]  == acceptance_code_2[3] | acceptance_mask_2[3] | no_byte0) &
180
                        (data0[4]  == acceptance_code_2[4] | acceptance_mask_2[4] | no_byte0) &
181
                        (data0[5]  == acceptance_code_2[5] | acceptance_mask_2[5] | no_byte0) &
182
                        (data0[6]  == acceptance_code_2[6] | acceptance_mask_2[6] | no_byte0) &
183
                        (data0[7]  == acceptance_code_2[7] | acceptance_mask_2[7] | no_byte0) &
184 11 mohor
 
185 16 mohor
                        (data1[0]  == acceptance_code_3[0] | acceptance_mask_3[0] | no_byte1) &
186
                        (data1[1]  == acceptance_code_3[1] | acceptance_mask_3[1] | no_byte1) &
187
                        (data1[2]  == acceptance_code_3[2] | acceptance_mask_3[2] | no_byte1) &
188
                        (data1[3]  == acceptance_code_3[3] | acceptance_mask_3[3] | no_byte1) &
189
                        (data1[4]  == acceptance_code_3[4] | acceptance_mask_3[4] | no_byte1) &
190
                        (data1[5]  == acceptance_code_3[5] | acceptance_mask_3[5] | no_byte1) &
191
                        (data1[6]  == acceptance_code_3[6] | acceptance_mask_3[6] | no_byte1) &
192
                        (data1[7]  == acceptance_code_3[7] | acceptance_mask_3[7] | no_byte1)
193 11 mohor
                      );
194
 
195
 
196 16 mohor
 
197 11 mohor
// Working in extended mode. ID match for extended format (29-bit ID). Using single filter.
198
assign match_sf_ext = ( (id[21]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
199
                        (id[22]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
200
                        (id[23]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
201
                        (id[24]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
202
                        (id[25]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
203
                        (id[26]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
204
                        (id[27]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
205
                        (id[28]  == acceptance_code_0[7] | acceptance_mask_0[7] ) &
206
 
207
                        (id[13]  == acceptance_code_1[0] | acceptance_mask_1[0] ) &
208
                        (id[14]  == acceptance_code_1[1] | acceptance_mask_1[1] ) &
209
                        (id[15]  == acceptance_code_1[2] | acceptance_mask_1[2] ) &
210
                        (id[16]  == acceptance_code_1[3] | acceptance_mask_1[3] ) &
211
                        (id[17]  == acceptance_code_1[4] | acceptance_mask_1[4] ) &
212
                        (id[18]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
213
                        (id[19]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
214
                        (id[20]  == acceptance_code_1[7] | acceptance_mask_1[7] ) &
215
 
216
                        (id[5]  == acceptance_code_2[0] | acceptance_mask_2[0] ) &
217
                        (id[6]  == acceptance_code_2[1] | acceptance_mask_2[1] ) &
218
                        (id[7]  == acceptance_code_2[2] | acceptance_mask_2[2] ) &
219
                        (id[8]  == acceptance_code_2[3] | acceptance_mask_2[3] ) &
220
                        (id[9]  == acceptance_code_2[4] | acceptance_mask_2[4] ) &
221
                        (id[10] == acceptance_code_2[5] | acceptance_mask_2[5] ) &
222
                        (id[11] == acceptance_code_2[6] | acceptance_mask_2[6] ) &
223
                        (id[12] == acceptance_code_2[7] | acceptance_mask_2[7] ) &
224
 
225
                        (rtr2   == acceptance_code_3[2] | acceptance_mask_3[2] ) &
226
                        (id[0]  == acceptance_code_3[3] | acceptance_mask_3[3] ) &
227
                        (id[1]  == acceptance_code_3[4] | acceptance_mask_3[4] ) &
228
                        (id[2]  == acceptance_code_3[5] | acceptance_mask_3[5] ) &
229
                        (id[3]  == acceptance_code_3[6] | acceptance_mask_3[6] ) &
230
                        (id[4]  == acceptance_code_3[7] | acceptance_mask_3[7] )
231
 
232
                      );
233
 
234
 
235
// Working in extended mode. ID match for standard format (11-bit ID). Using double filter.
236
assign match_df_std = (((id[3]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
237
                        (id[4]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
238
                        (id[5]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
239
                        (id[6]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
240
                        (id[7]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
241
                        (id[8]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
242
                        (id[9]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
243
                        (id[10] == acceptance_code_0[7] | acceptance_mask_0[7] ) &
244
 
245
                        (rtr1   == acceptance_code_1[4] | acceptance_mask_1[4] ) &
246
                        (id[0]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
247
                        (id[1]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
248
                        (id[2]  == acceptance_code_1[7] | acceptance_mask_1[7] ) &
249
 
250 16 mohor
                        (data0[0] == acceptance_code_3[0] | acceptance_mask_3[0] | no_byte0) &
251
                        (data0[1] == acceptance_code_3[1] | acceptance_mask_3[1] | no_byte0) &
252
                        (data0[2] == acceptance_code_3[2] | acceptance_mask_3[2] | no_byte0) &
253
                        (data0[3] == acceptance_code_3[3] | acceptance_mask_3[3] | no_byte0) &
254
                        (data0[4] == acceptance_code_1[4] | acceptance_mask_1[4] | no_byte0) &
255
                        (data0[5] == acceptance_code_1[5] | acceptance_mask_1[5] | no_byte0) &
256
                        (data0[6] == acceptance_code_1[6] | acceptance_mask_1[6] | no_byte0) &
257
                        (data0[7] == acceptance_code_1[7] | acceptance_mask_1[7] | no_byte0) )
258 11 mohor
 
259
                       |
260
 
261
                       ((id[3]  == acceptance_code_2[0] | acceptance_mask_2[0] ) &
262
                        (id[4]  == acceptance_code_2[1] | acceptance_mask_2[1] ) &
263
                        (id[5]  == acceptance_code_2[2] | acceptance_mask_2[2] ) &
264
                        (id[6]  == acceptance_code_2[3] | acceptance_mask_2[3] ) &
265
                        (id[7]  == acceptance_code_2[4] | acceptance_mask_2[4] ) &
266
                        (id[8]  == acceptance_code_2[5] | acceptance_mask_2[5] ) &
267
                        (id[9]  == acceptance_code_2[6] | acceptance_mask_2[6] ) &
268
                        (id[10] == acceptance_code_2[7] | acceptance_mask_2[7] ) &
269
 
270
                        (rtr1   == acceptance_code_3[4] | acceptance_mask_3[4] ) &
271
                        (id[0]  == acceptance_code_3[5] | acceptance_mask_3[5] ) &
272
                        (id[1]  == acceptance_code_3[6] | acceptance_mask_3[6] ) &
273
                        (id[2]  == acceptance_code_3[7] | acceptance_mask_3[7] ) )
274
 
275
                      );
276
 
277
 
278
// Working in extended mode. ID match for extended format (29-bit ID). Using double filter.
279
assign match_df_ext = (((id[21]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
280
                        (id[22]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
281
                        (id[23]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
282
                        (id[24]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
283
                        (id[25]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
284
                        (id[26]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
285
                        (id[27]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
286
                        (id[28]  == acceptance_code_0[7] | acceptance_mask_0[7] ) &
287
 
288
                        (id[13]  == acceptance_code_1[0] | acceptance_mask_1[0] ) &
289
                        (id[14]  == acceptance_code_1[1] | acceptance_mask_1[1] ) &
290
                        (id[15]  == acceptance_code_1[2] | acceptance_mask_1[2] ) &
291
                        (id[16]  == acceptance_code_1[3] | acceptance_mask_1[3] ) &
292
                        (id[17]  == acceptance_code_1[4] | acceptance_mask_1[4] ) &
293
                        (id[18]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
294
                        (id[19]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
295
                        (id[20]  == acceptance_code_1[7] | acceptance_mask_1[7] ) )
296
 
297
                       |
298
 
299
                       ((id[21]  == acceptance_code_2[0] | acceptance_mask_2[0] ) &
300
                        (id[22]  == acceptance_code_2[1] | acceptance_mask_2[1] ) &
301
                        (id[23]  == acceptance_code_2[2] | acceptance_mask_2[2] ) &
302
                        (id[24]  == acceptance_code_2[3] | acceptance_mask_2[3] ) &
303
                        (id[25]  == acceptance_code_2[4] | acceptance_mask_2[4] ) &
304
                        (id[26]  == acceptance_code_2[5] | acceptance_mask_2[5] ) &
305
                        (id[27]  == acceptance_code_2[6] | acceptance_mask_2[6] ) &
306
                        (id[28]  == acceptance_code_2[7] | acceptance_mask_2[7] ) &
307
 
308
                        (id[13]  == acceptance_code_3[0] | acceptance_mask_3[0] ) &
309
                        (id[14]  == acceptance_code_3[1] | acceptance_mask_3[1] ) &
310
                        (id[15]  == acceptance_code_3[2] | acceptance_mask_3[2] ) &
311
                        (id[16]  == acceptance_code_3[3] | acceptance_mask_3[3] ) &
312
                        (id[17]  == acceptance_code_3[4] | acceptance_mask_3[4] ) &
313
                        (id[18]  == acceptance_code_3[5] | acceptance_mask_3[5] ) &
314
                        (id[19]  == acceptance_code_3[6] | acceptance_mask_3[6] ) &
315
                        (id[20]  == acceptance_code_3[7] | acceptance_mask_3[7] ) )
316
                      );
317
 
318
 
319
 
320
// ID ok signal generation
321
always @ (posedge clk or posedge rst)
322
begin
323
  if (rst)
324
    id_ok <= 0;
325 24 mohor
  else if (go_rx_crc_lim)                   // sample_point is already included in go_rx_crc_lim
326 11 mohor
    begin
327
      if (extended_mode)
328
        begin
329
          if (acceptance_filter_mode)       // dual filter
330
            begin
331
              if (ide)                        // extended frame message
332
                id_ok <=#Tp match_df_ext;
333
              else                            // standard frame message
334
                id_ok <=#Tp match_df_std;
335
            end
336
          else                              // single filter
337
            begin
338
              if (ide)                      // extended frame message
339
                id_ok <=#Tp match_sf_ext;
340
              else                          // standard frame message
341
                id_ok <=#Tp match_sf_std;
342
            end
343
        end
344
      else
345
        id_ok <=#Tp match;
346
    end
347
  else if (reset_mode | go_rx_idle)   // sample_point is already included in go_rx_idle
348
    id_ok <=#Tp 0;
349
end
350
 
351
 
352
 
353
 
354
 
355
 
356
 
357
 
358
 
359
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.