OpenCores
URL https://opencores.org/ocsvn/can/can/trunk

Subversion Repositories can

[/] [can/] [tags/] [rel_10/] [rtl/] [verilog/] [can_acf.v] - Blame information for rev 16

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  can_acf.v                                                   ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the CAN Protocol Controller            ////
7
////  http://www.opencores.org/projects/can/                      ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15
////  All additional information is available in the README.txt   ////
16
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2002, 2003 Authors                             ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44
//
45
// CVS Revision History
46
//
47
// $Log: not supported by cvs2svn $
48 16 mohor
// Revision 1.1  2003/01/08 02:13:15  mohor
49
// Acceptance filter added.
50 11 mohor
//
51
//
52
//
53 16 mohor
//
54 11 mohor
 
55
// synopsys translate_off
56
`include "timescale.v"
57
// synopsys translate_on
58
`include "can_defines.v"
59
 
60
module can_acf
61
(
62
  clk,
63
  rst,
64
 
65
  id,
66
 
67
  /* Mode register */
68
  reset_mode,
69
  acceptance_filter_mode,
70
 
71
  extended_mode,
72
 
73
  acceptance_code_0,
74
  acceptance_code_1,
75
  acceptance_code_2,
76
  acceptance_code_3,
77
  acceptance_mask_0,
78
  acceptance_mask_1,
79
  acceptance_mask_2,
80
  acceptance_mask_3,
81
 
82
  sample_point,
83
  go_rx_crc_lim,
84
  go_rx_idle,
85
 
86
  data0,
87
  data1,
88
  rtr1,
89
  rtr2,
90
  ide,
91 16 mohor
  no_byte0,
92
  no_byte1,
93 11 mohor
 
94
 
95
  id_ok
96
 
97
 
98
);
99
 
100
parameter Tp = 1;
101
 
102
input         clk;
103
input         rst;
104
input  [28:0] id;
105
input         reset_mode;
106
input         acceptance_filter_mode;
107
input         extended_mode;
108
 
109
input   [7:0] acceptance_code_0;
110
input   [7:0] acceptance_code_1;
111
input   [7:0] acceptance_code_2;
112
input   [7:0] acceptance_code_3;
113
input   [7:0] acceptance_mask_0;
114
input   [7:0] acceptance_mask_1;
115
input   [7:0] acceptance_mask_2;
116
input   [7:0] acceptance_mask_3;
117
input         sample_point;
118
input         go_rx_crc_lim;
119
input         go_rx_idle;
120
input   [7:0] data0;
121
input   [7:0] data1;
122
input         rtr1;
123
input         rtr2;
124
input         ide;
125 16 mohor
input         no_byte0;
126
input         no_byte1;
127 11 mohor
 
128
 
129
output        id_ok;
130
 
131
reg           id_ok;
132
 
133
wire          match;
134
wire          match_sf_std;
135
wire          match_sf_ext;
136
wire          match_df_std;
137
wire          match_df_ext;
138
 
139
 
140
// Working in basic mode. ID match for standard format (11-bit ID).
141
assign match =        ( (id[3]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
142
                        (id[4]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
143
                        (id[5]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
144
                        (id[6]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
145
                        (id[7]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
146
                        (id[8]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
147
                        (id[9]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
148
                        (id[10] == acceptance_code_0[7] | acceptance_mask_0[7] )
149
                      );
150
 
151
 
152
// Working in extended mode. ID match for standard format (11-bit ID). Using single filter.
153
assign match_sf_std = ( (id[3]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
154
                        (id[4]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
155
                        (id[5]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
156
                        (id[6]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
157
                        (id[7]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
158
                        (id[8]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
159
                        (id[9]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
160
                        (id[10] == acceptance_code_0[7] | acceptance_mask_0[7] ) &
161
 
162
                        (rtr1   == acceptance_code_1[4] | acceptance_mask_1[4] ) &
163
                        (id[0]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
164
                        (id[1]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
165
                        (id[2]  == acceptance_code_1[7] | acceptance_mask_1[7] ) &
166
 
167 16 mohor
                        (data0[0]  == acceptance_code_2[0] | acceptance_mask_2[0] | no_byte0) &
168
                        (data0[1]  == acceptance_code_2[1] | acceptance_mask_2[1] | no_byte0) &
169
                        (data0[2]  == acceptance_code_2[2] | acceptance_mask_2[2] | no_byte0) &
170
                        (data0[3]  == acceptance_code_2[3] | acceptance_mask_2[3] | no_byte0) &
171
                        (data0[4]  == acceptance_code_2[4] | acceptance_mask_2[4] | no_byte0) &
172
                        (data0[5]  == acceptance_code_2[5] | acceptance_mask_2[5] | no_byte0) &
173
                        (data0[6]  == acceptance_code_2[6] | acceptance_mask_2[6] | no_byte0) &
174
                        (data0[7]  == acceptance_code_2[7] | acceptance_mask_2[7] | no_byte0) &
175 11 mohor
 
176 16 mohor
                        (data1[0]  == acceptance_code_3[0] | acceptance_mask_3[0] | no_byte1) &
177
                        (data1[1]  == acceptance_code_3[1] | acceptance_mask_3[1] | no_byte1) &
178
                        (data1[2]  == acceptance_code_3[2] | acceptance_mask_3[2] | no_byte1) &
179
                        (data1[3]  == acceptance_code_3[3] | acceptance_mask_3[3] | no_byte1) &
180
                        (data1[4]  == acceptance_code_3[4] | acceptance_mask_3[4] | no_byte1) &
181
                        (data1[5]  == acceptance_code_3[5] | acceptance_mask_3[5] | no_byte1) &
182
                        (data1[6]  == acceptance_code_3[6] | acceptance_mask_3[6] | no_byte1) &
183
                        (data1[7]  == acceptance_code_3[7] | acceptance_mask_3[7] | no_byte1)
184 11 mohor
                      );
185
 
186
 
187 16 mohor
 
188 11 mohor
// Working in extended mode. ID match for extended format (29-bit ID). Using single filter.
189
assign match_sf_ext = ( (id[21]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
190
                        (id[22]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
191
                        (id[23]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
192
                        (id[24]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
193
                        (id[25]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
194
                        (id[26]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
195
                        (id[27]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
196
                        (id[28]  == acceptance_code_0[7] | acceptance_mask_0[7] ) &
197
 
198
                        (id[13]  == acceptance_code_1[0] | acceptance_mask_1[0] ) &
199
                        (id[14]  == acceptance_code_1[1] | acceptance_mask_1[1] ) &
200
                        (id[15]  == acceptance_code_1[2] | acceptance_mask_1[2] ) &
201
                        (id[16]  == acceptance_code_1[3] | acceptance_mask_1[3] ) &
202
                        (id[17]  == acceptance_code_1[4] | acceptance_mask_1[4] ) &
203
                        (id[18]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
204
                        (id[19]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
205
                        (id[20]  == acceptance_code_1[7] | acceptance_mask_1[7] ) &
206
 
207
                        (id[5]  == acceptance_code_2[0] | acceptance_mask_2[0] ) &
208
                        (id[6]  == acceptance_code_2[1] | acceptance_mask_2[1] ) &
209
                        (id[7]  == acceptance_code_2[2] | acceptance_mask_2[2] ) &
210
                        (id[8]  == acceptance_code_2[3] | acceptance_mask_2[3] ) &
211
                        (id[9]  == acceptance_code_2[4] | acceptance_mask_2[4] ) &
212
                        (id[10] == acceptance_code_2[5] | acceptance_mask_2[5] ) &
213
                        (id[11] == acceptance_code_2[6] | acceptance_mask_2[6] ) &
214
                        (id[12] == acceptance_code_2[7] | acceptance_mask_2[7] ) &
215
 
216
                        (rtr2   == acceptance_code_3[2] | acceptance_mask_3[2] ) &
217
                        (id[0]  == acceptance_code_3[3] | acceptance_mask_3[3] ) &
218
                        (id[1]  == acceptance_code_3[4] | acceptance_mask_3[4] ) &
219
                        (id[2]  == acceptance_code_3[5] | acceptance_mask_3[5] ) &
220
                        (id[3]  == acceptance_code_3[6] | acceptance_mask_3[6] ) &
221
                        (id[4]  == acceptance_code_3[7] | acceptance_mask_3[7] )
222
 
223
                      );
224
 
225
 
226
// Working in extended mode. ID match for standard format (11-bit ID). Using double filter.
227
assign match_df_std = (((id[3]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
228
                        (id[4]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
229
                        (id[5]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
230
                        (id[6]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
231
                        (id[7]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
232
                        (id[8]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
233
                        (id[9]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
234
                        (id[10] == acceptance_code_0[7] | acceptance_mask_0[7] ) &
235
 
236
                        (rtr1   == acceptance_code_1[4] | acceptance_mask_1[4] ) &
237
                        (id[0]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
238
                        (id[1]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
239
                        (id[2]  == acceptance_code_1[7] | acceptance_mask_1[7] ) &
240
 
241 16 mohor
                        (data0[0] == acceptance_code_3[0] | acceptance_mask_3[0] | no_byte0) &
242
                        (data0[1] == acceptance_code_3[1] | acceptance_mask_3[1] | no_byte0) &
243
                        (data0[2] == acceptance_code_3[2] | acceptance_mask_3[2] | no_byte0) &
244
                        (data0[3] == acceptance_code_3[3] | acceptance_mask_3[3] | no_byte0) &
245
                        (data0[4] == acceptance_code_1[4] | acceptance_mask_1[4] | no_byte0) &
246
                        (data0[5] == acceptance_code_1[5] | acceptance_mask_1[5] | no_byte0) &
247
                        (data0[6] == acceptance_code_1[6] | acceptance_mask_1[6] | no_byte0) &
248
                        (data0[7] == acceptance_code_1[7] | acceptance_mask_1[7] | no_byte0) )
249 11 mohor
 
250
                       |
251
 
252
                       ((id[3]  == acceptance_code_2[0] | acceptance_mask_2[0] ) &
253
                        (id[4]  == acceptance_code_2[1] | acceptance_mask_2[1] ) &
254
                        (id[5]  == acceptance_code_2[2] | acceptance_mask_2[2] ) &
255
                        (id[6]  == acceptance_code_2[3] | acceptance_mask_2[3] ) &
256
                        (id[7]  == acceptance_code_2[4] | acceptance_mask_2[4] ) &
257
                        (id[8]  == acceptance_code_2[5] | acceptance_mask_2[5] ) &
258
                        (id[9]  == acceptance_code_2[6] | acceptance_mask_2[6] ) &
259
                        (id[10] == acceptance_code_2[7] | acceptance_mask_2[7] ) &
260
 
261
                        (rtr1   == acceptance_code_3[4] | acceptance_mask_3[4] ) &
262
                        (id[0]  == acceptance_code_3[5] | acceptance_mask_3[5] ) &
263
                        (id[1]  == acceptance_code_3[6] | acceptance_mask_3[6] ) &
264
                        (id[2]  == acceptance_code_3[7] | acceptance_mask_3[7] ) )
265
 
266
                      );
267
 
268
 
269
// Working in extended mode. ID match for extended format (29-bit ID). Using double filter.
270
assign match_df_ext = (((id[21]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
271
                        (id[22]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
272
                        (id[23]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
273
                        (id[24]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
274
                        (id[25]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
275
                        (id[26]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
276
                        (id[27]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
277
                        (id[28]  == acceptance_code_0[7] | acceptance_mask_0[7] ) &
278
 
279
                        (id[13]  == acceptance_code_1[0] | acceptance_mask_1[0] ) &
280
                        (id[14]  == acceptance_code_1[1] | acceptance_mask_1[1] ) &
281
                        (id[15]  == acceptance_code_1[2] | acceptance_mask_1[2] ) &
282
                        (id[16]  == acceptance_code_1[3] | acceptance_mask_1[3] ) &
283
                        (id[17]  == acceptance_code_1[4] | acceptance_mask_1[4] ) &
284
                        (id[18]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
285
                        (id[19]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
286
                        (id[20]  == acceptance_code_1[7] | acceptance_mask_1[7] ) )
287
 
288
                       |
289
 
290
                       ((id[21]  == acceptance_code_2[0] | acceptance_mask_2[0] ) &
291
                        (id[22]  == acceptance_code_2[1] | acceptance_mask_2[1] ) &
292
                        (id[23]  == acceptance_code_2[2] | acceptance_mask_2[2] ) &
293
                        (id[24]  == acceptance_code_2[3] | acceptance_mask_2[3] ) &
294
                        (id[25]  == acceptance_code_2[4] | acceptance_mask_2[4] ) &
295
                        (id[26]  == acceptance_code_2[5] | acceptance_mask_2[5] ) &
296
                        (id[27]  == acceptance_code_2[6] | acceptance_mask_2[6] ) &
297
                        (id[28]  == acceptance_code_2[7] | acceptance_mask_2[7] ) &
298
 
299
                        (id[13]  == acceptance_code_3[0] | acceptance_mask_3[0] ) &
300
                        (id[14]  == acceptance_code_3[1] | acceptance_mask_3[1] ) &
301
                        (id[15]  == acceptance_code_3[2] | acceptance_mask_3[2] ) &
302
                        (id[16]  == acceptance_code_3[3] | acceptance_mask_3[3] ) &
303
                        (id[17]  == acceptance_code_3[4] | acceptance_mask_3[4] ) &
304
                        (id[18]  == acceptance_code_3[5] | acceptance_mask_3[5] ) &
305
                        (id[19]  == acceptance_code_3[6] | acceptance_mask_3[6] ) &
306
                        (id[20]  == acceptance_code_3[7] | acceptance_mask_3[7] ) )
307
                      );
308
 
309
 
310
 
311
// ID ok signal generation
312
always @ (posedge clk or posedge rst)
313
begin
314
  if (rst)
315
    id_ok <= 0;
316
  else if (go_rx_crc_lim)                       // sample_point is already included in go_rx_crc_lim
317
    begin
318
      if (extended_mode)
319
        begin
320
          if (acceptance_filter_mode)       // dual filter
321
            begin
322
              if (ide)                        // extended frame message
323
                id_ok <=#Tp match_df_ext;
324
              else                            // standard frame message
325
                id_ok <=#Tp match_df_std;
326
            end
327
          else                              // single filter
328
            begin
329
              if (ide)                      // extended frame message
330
                id_ok <=#Tp match_sf_ext;
331
              else                          // standard frame message
332
                id_ok <=#Tp match_sf_std;
333
            end
334
        end
335
      else
336
        id_ok <=#Tp match;
337
    end
338
  else if (reset_mode | go_rx_idle)   // sample_point is already included in go_rx_idle
339
    id_ok <=#Tp 0;
340
end
341
 
342
 
343
 
344
 
345
 
346
 
347
 
348
 
349
 
350
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.