OpenCores
URL https://opencores.org/ocsvn/can/can/trunk

Subversion Repositories can

[/] [can/] [tags/] [rel_11/] [rtl/] [verilog/] [can_acf.v] - Blame information for rev 29

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  can_acf.v                                                   ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the CAN Protocol Controller            ////
7
////  http://www.opencores.org/projects/can/                      ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15
////  All additional information is available in the README.txt   ////
16
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2002, 2003 Authors                             ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43 28 mohor
//// The CAN protocol is developed by Robert Bosch GmbH and       ////
44
//// protected by patents. Anybody who wants to implement this    ////
45
//// CAN IP core on silicon has to obtain a CAN protocol license  ////
46
//// from Bosch.                                                  ////
47
////                                                              ////
48 11 mohor
//////////////////////////////////////////////////////////////////////
49
//
50
// CVS Revision History
51
//
52
// $Log: not supported by cvs2svn $
53 29 mohor
// Revision 1.4  2003/02/09 02:24:33  mohor
54
// Bosch license warning added. Error counters finished. Overload frames
55
// still need to be fixed.
56
//
57 28 mohor
// Revision 1.3  2003/01/31 01:13:37  mohor
58
// backup.
59
//
60 24 mohor
// Revision 1.2  2003/01/14 12:19:35  mohor
61
// rx_fifo is now working.
62
//
63 16 mohor
// Revision 1.1  2003/01/08 02:13:15  mohor
64
// Acceptance filter added.
65 11 mohor
//
66
//
67
//
68 16 mohor
//
69 11 mohor
 
70
// synopsys translate_off
71
`include "timescale.v"
72
// synopsys translate_on
73
`include "can_defines.v"
74
 
75
module can_acf
76
(
77
  clk,
78
  rst,
79
 
80
  id,
81
 
82
  /* Mode register */
83
  reset_mode,
84
  acceptance_filter_mode,
85
 
86
  extended_mode,
87
 
88
  acceptance_code_0,
89
  acceptance_code_1,
90
  acceptance_code_2,
91
  acceptance_code_3,
92
  acceptance_mask_0,
93
  acceptance_mask_1,
94
  acceptance_mask_2,
95
  acceptance_mask_3,
96
 
97
  go_rx_crc_lim,
98 29 mohor
  go_rx_inter,
99 11 mohor
 
100
  data0,
101
  data1,
102
  rtr1,
103
  rtr2,
104
  ide,
105 16 mohor
  no_byte0,
106
  no_byte1,
107 11 mohor
 
108
 
109
  id_ok
110
 
111
 
112
);
113
 
114
parameter Tp = 1;
115
 
116
input         clk;
117
input         rst;
118
input  [28:0] id;
119
input         reset_mode;
120
input         acceptance_filter_mode;
121
input         extended_mode;
122
 
123
input   [7:0] acceptance_code_0;
124
input   [7:0] acceptance_code_1;
125
input   [7:0] acceptance_code_2;
126
input   [7:0] acceptance_code_3;
127
input   [7:0] acceptance_mask_0;
128
input   [7:0] acceptance_mask_1;
129
input   [7:0] acceptance_mask_2;
130
input   [7:0] acceptance_mask_3;
131
input         go_rx_crc_lim;
132 29 mohor
input         go_rx_inter;
133 11 mohor
input   [7:0] data0;
134
input   [7:0] data1;
135
input         rtr1;
136
input         rtr2;
137
input         ide;
138 16 mohor
input         no_byte0;
139
input         no_byte1;
140 11 mohor
 
141
 
142
output        id_ok;
143
 
144
reg           id_ok;
145
 
146
wire          match;
147
wire          match_sf_std;
148
wire          match_sf_ext;
149
wire          match_df_std;
150
wire          match_df_ext;
151
 
152
 
153
// Working in basic mode. ID match for standard format (11-bit ID).
154
assign match =        ( (id[3]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
155
                        (id[4]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
156
                        (id[5]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
157
                        (id[6]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
158
                        (id[7]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
159
                        (id[8]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
160
                        (id[9]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
161
                        (id[10] == acceptance_code_0[7] | acceptance_mask_0[7] )
162
                      );
163
 
164
 
165
// Working in extended mode. ID match for standard format (11-bit ID). Using single filter.
166
assign match_sf_std = ( (id[3]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
167
                        (id[4]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
168
                        (id[5]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
169
                        (id[6]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
170
                        (id[7]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
171
                        (id[8]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
172
                        (id[9]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
173
                        (id[10] == acceptance_code_0[7] | acceptance_mask_0[7] ) &
174
 
175
                        (rtr1   == acceptance_code_1[4] | acceptance_mask_1[4] ) &
176
                        (id[0]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
177
                        (id[1]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
178
                        (id[2]  == acceptance_code_1[7] | acceptance_mask_1[7] ) &
179
 
180 16 mohor
                        (data0[0]  == acceptance_code_2[0] | acceptance_mask_2[0] | no_byte0) &
181
                        (data0[1]  == acceptance_code_2[1] | acceptance_mask_2[1] | no_byte0) &
182
                        (data0[2]  == acceptance_code_2[2] | acceptance_mask_2[2] | no_byte0) &
183
                        (data0[3]  == acceptance_code_2[3] | acceptance_mask_2[3] | no_byte0) &
184
                        (data0[4]  == acceptance_code_2[4] | acceptance_mask_2[4] | no_byte0) &
185
                        (data0[5]  == acceptance_code_2[5] | acceptance_mask_2[5] | no_byte0) &
186
                        (data0[6]  == acceptance_code_2[6] | acceptance_mask_2[6] | no_byte0) &
187
                        (data0[7]  == acceptance_code_2[7] | acceptance_mask_2[7] | no_byte0) &
188 11 mohor
 
189 16 mohor
                        (data1[0]  == acceptance_code_3[0] | acceptance_mask_3[0] | no_byte1) &
190
                        (data1[1]  == acceptance_code_3[1] | acceptance_mask_3[1] | no_byte1) &
191
                        (data1[2]  == acceptance_code_3[2] | acceptance_mask_3[2] | no_byte1) &
192
                        (data1[3]  == acceptance_code_3[3] | acceptance_mask_3[3] | no_byte1) &
193
                        (data1[4]  == acceptance_code_3[4] | acceptance_mask_3[4] | no_byte1) &
194
                        (data1[5]  == acceptance_code_3[5] | acceptance_mask_3[5] | no_byte1) &
195
                        (data1[6]  == acceptance_code_3[6] | acceptance_mask_3[6] | no_byte1) &
196
                        (data1[7]  == acceptance_code_3[7] | acceptance_mask_3[7] | no_byte1)
197 11 mohor
                      );
198
 
199
 
200 16 mohor
 
201 11 mohor
// Working in extended mode. ID match for extended format (29-bit ID). Using single filter.
202
assign match_sf_ext = ( (id[21]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
203
                        (id[22]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
204
                        (id[23]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
205
                        (id[24]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
206
                        (id[25]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
207
                        (id[26]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
208
                        (id[27]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
209
                        (id[28]  == acceptance_code_0[7] | acceptance_mask_0[7] ) &
210
 
211
                        (id[13]  == acceptance_code_1[0] | acceptance_mask_1[0] ) &
212
                        (id[14]  == acceptance_code_1[1] | acceptance_mask_1[1] ) &
213
                        (id[15]  == acceptance_code_1[2] | acceptance_mask_1[2] ) &
214
                        (id[16]  == acceptance_code_1[3] | acceptance_mask_1[3] ) &
215
                        (id[17]  == acceptance_code_1[4] | acceptance_mask_1[4] ) &
216
                        (id[18]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
217
                        (id[19]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
218
                        (id[20]  == acceptance_code_1[7] | acceptance_mask_1[7] ) &
219
 
220
                        (id[5]  == acceptance_code_2[0] | acceptance_mask_2[0] ) &
221
                        (id[6]  == acceptance_code_2[1] | acceptance_mask_2[1] ) &
222
                        (id[7]  == acceptance_code_2[2] | acceptance_mask_2[2] ) &
223
                        (id[8]  == acceptance_code_2[3] | acceptance_mask_2[3] ) &
224
                        (id[9]  == acceptance_code_2[4] | acceptance_mask_2[4] ) &
225
                        (id[10] == acceptance_code_2[5] | acceptance_mask_2[5] ) &
226
                        (id[11] == acceptance_code_2[6] | acceptance_mask_2[6] ) &
227
                        (id[12] == acceptance_code_2[7] | acceptance_mask_2[7] ) &
228
 
229
                        (rtr2   == acceptance_code_3[2] | acceptance_mask_3[2] ) &
230
                        (id[0]  == acceptance_code_3[3] | acceptance_mask_3[3] ) &
231
                        (id[1]  == acceptance_code_3[4] | acceptance_mask_3[4] ) &
232
                        (id[2]  == acceptance_code_3[5] | acceptance_mask_3[5] ) &
233
                        (id[3]  == acceptance_code_3[6] | acceptance_mask_3[6] ) &
234
                        (id[4]  == acceptance_code_3[7] | acceptance_mask_3[7] )
235
 
236
                      );
237
 
238
 
239
// Working in extended mode. ID match for standard format (11-bit ID). Using double filter.
240
assign match_df_std = (((id[3]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
241
                        (id[4]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
242
                        (id[5]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
243
                        (id[6]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
244
                        (id[7]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
245
                        (id[8]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
246
                        (id[9]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
247
                        (id[10] == acceptance_code_0[7] | acceptance_mask_0[7] ) &
248
 
249
                        (rtr1   == acceptance_code_1[4] | acceptance_mask_1[4] ) &
250
                        (id[0]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
251
                        (id[1]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
252
                        (id[2]  == acceptance_code_1[7] | acceptance_mask_1[7] ) &
253
 
254 16 mohor
                        (data0[0] == acceptance_code_3[0] | acceptance_mask_3[0] | no_byte0) &
255
                        (data0[1] == acceptance_code_3[1] | acceptance_mask_3[1] | no_byte0) &
256
                        (data0[2] == acceptance_code_3[2] | acceptance_mask_3[2] | no_byte0) &
257
                        (data0[3] == acceptance_code_3[3] | acceptance_mask_3[3] | no_byte0) &
258
                        (data0[4] == acceptance_code_1[4] | acceptance_mask_1[4] | no_byte0) &
259
                        (data0[5] == acceptance_code_1[5] | acceptance_mask_1[5] | no_byte0) &
260
                        (data0[6] == acceptance_code_1[6] | acceptance_mask_1[6] | no_byte0) &
261
                        (data0[7] == acceptance_code_1[7] | acceptance_mask_1[7] | no_byte0) )
262 11 mohor
 
263
                       |
264
 
265
                       ((id[3]  == acceptance_code_2[0] | acceptance_mask_2[0] ) &
266
                        (id[4]  == acceptance_code_2[1] | acceptance_mask_2[1] ) &
267
                        (id[5]  == acceptance_code_2[2] | acceptance_mask_2[2] ) &
268
                        (id[6]  == acceptance_code_2[3] | acceptance_mask_2[3] ) &
269
                        (id[7]  == acceptance_code_2[4] | acceptance_mask_2[4] ) &
270
                        (id[8]  == acceptance_code_2[5] | acceptance_mask_2[5] ) &
271
                        (id[9]  == acceptance_code_2[6] | acceptance_mask_2[6] ) &
272
                        (id[10] == acceptance_code_2[7] | acceptance_mask_2[7] ) &
273
 
274
                        (rtr1   == acceptance_code_3[4] | acceptance_mask_3[4] ) &
275
                        (id[0]  == acceptance_code_3[5] | acceptance_mask_3[5] ) &
276
                        (id[1]  == acceptance_code_3[6] | acceptance_mask_3[6] ) &
277
                        (id[2]  == acceptance_code_3[7] | acceptance_mask_3[7] ) )
278
 
279
                      );
280
 
281
 
282
// Working in extended mode. ID match for extended format (29-bit ID). Using double filter.
283
assign match_df_ext = (((id[21]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
284
                        (id[22]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
285
                        (id[23]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
286
                        (id[24]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
287
                        (id[25]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
288
                        (id[26]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
289
                        (id[27]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
290
                        (id[28]  == acceptance_code_0[7] | acceptance_mask_0[7] ) &
291
 
292
                        (id[13]  == acceptance_code_1[0] | acceptance_mask_1[0] ) &
293
                        (id[14]  == acceptance_code_1[1] | acceptance_mask_1[1] ) &
294
                        (id[15]  == acceptance_code_1[2] | acceptance_mask_1[2] ) &
295
                        (id[16]  == acceptance_code_1[3] | acceptance_mask_1[3] ) &
296
                        (id[17]  == acceptance_code_1[4] | acceptance_mask_1[4] ) &
297
                        (id[18]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
298
                        (id[19]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
299
                        (id[20]  == acceptance_code_1[7] | acceptance_mask_1[7] ) )
300
 
301
                       |
302
 
303
                       ((id[21]  == acceptance_code_2[0] | acceptance_mask_2[0] ) &
304
                        (id[22]  == acceptance_code_2[1] | acceptance_mask_2[1] ) &
305
                        (id[23]  == acceptance_code_2[2] | acceptance_mask_2[2] ) &
306
                        (id[24]  == acceptance_code_2[3] | acceptance_mask_2[3] ) &
307
                        (id[25]  == acceptance_code_2[4] | acceptance_mask_2[4] ) &
308
                        (id[26]  == acceptance_code_2[5] | acceptance_mask_2[5] ) &
309
                        (id[27]  == acceptance_code_2[6] | acceptance_mask_2[6] ) &
310
                        (id[28]  == acceptance_code_2[7] | acceptance_mask_2[7] ) &
311
 
312
                        (id[13]  == acceptance_code_3[0] | acceptance_mask_3[0] ) &
313
                        (id[14]  == acceptance_code_3[1] | acceptance_mask_3[1] ) &
314
                        (id[15]  == acceptance_code_3[2] | acceptance_mask_3[2] ) &
315
                        (id[16]  == acceptance_code_3[3] | acceptance_mask_3[3] ) &
316
                        (id[17]  == acceptance_code_3[4] | acceptance_mask_3[4] ) &
317
                        (id[18]  == acceptance_code_3[5] | acceptance_mask_3[5] ) &
318
                        (id[19]  == acceptance_code_3[6] | acceptance_mask_3[6] ) &
319
                        (id[20]  == acceptance_code_3[7] | acceptance_mask_3[7] ) )
320
                      );
321
 
322
 
323
 
324
// ID ok signal generation
325
always @ (posedge clk or posedge rst)
326
begin
327
  if (rst)
328
    id_ok <= 0;
329 24 mohor
  else if (go_rx_crc_lim)                   // sample_point is already included in go_rx_crc_lim
330 11 mohor
    begin
331
      if (extended_mode)
332
        begin
333
          if (acceptance_filter_mode)       // dual filter
334
            begin
335 29 mohor
              if (ide)                      // extended frame message
336 11 mohor
                id_ok <=#Tp match_df_ext;
337 29 mohor
              else                          // standard frame message
338 11 mohor
                id_ok <=#Tp match_df_std;
339
            end
340
          else                              // single filter
341
            begin
342
              if (ide)                      // extended frame message
343
                id_ok <=#Tp match_sf_ext;
344
              else                          // standard frame message
345
                id_ok <=#Tp match_sf_std;
346
            end
347
        end
348
      else
349
        id_ok <=#Tp match;
350
    end
351 29 mohor
  else if (reset_mode | go_rx_inter)        // sample_point is already included in go_rx_inter
352 11 mohor
    id_ok <=#Tp 0;
353
end
354
 
355
 
356
 
357
 
358
 
359
 
360
 
361
 
362
 
363
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.