OpenCores
URL https://opencores.org/ocsvn/can/can/trunk

Subversion Repositories can

[/] [can/] [tags/] [rel_22/] [rtl/] [verilog/] [can_registers.v] - Blame information for rev 125

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 66 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  can_registers.v                                             ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the CAN Protocol Controller            ////
7
////  http://www.opencores.org/projects/can/                      ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15
////  All additional information is available in the README.txt   ////
16
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2002, 2003 Authors                             ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//// The CAN protocol is developed by Robert Bosch GmbH and       ////
44
//// protected by patents. Anybody who wants to implement this    ////
45
//// CAN IP core on silicon has to obtain a CAN protocol license  ////
46
//// from Bosch.                                                  ////
47
////                                                              ////
48
//////////////////////////////////////////////////////////////////////
49
//
50
// CVS Revision History
51
//
52
// $Log: not supported by cvs2svn $
53 125 mohor
// Revision 1.30  2003/07/16 15:19:34  mohor
54
// Fixed according to the linter.
55
// Case statement for data_out joined.
56
//
57 111 mohor
// Revision 1.29  2003/07/10 01:59:04  tadejm
58
// Synchronization fixed. In some strange cases it didn't work according to
59
// the VHDL reference model.
60
//
61 104 tadejm
// Revision 1.28  2003/07/07 11:21:37  mohor
62
// Little fixes (to fix warnings).
63
//
64 102 mohor
// Revision 1.27  2003/06/22 09:43:03  mohor
65
// synthesi full_case parallel_case fixed.
66
//
67 93 mohor
// Revision 1.26  2003/06/22 01:33:14  mohor
68
// clkout is clk/2 after the reset.
69
//
70 92 mohor
// Revision 1.25  2003/06/21 12:16:30  mohor
71
// paralel_case and full_case compiler directives added to case statements.
72
//
73 90 mohor
// Revision 1.24  2003/06/09 11:22:54  mohor
74
// data_out is already registered in the can_top.v file.
75
//
76 70 mohor
// Revision 1.23  2003/04/15 15:31:24  mohor
77
// Some features are supported in extended mode only (listen_only_mode...).
78
//
79 69 mohor
// Revision 1.22  2003/03/20 16:58:50  mohor
80
// unix.
81
//
82 66 mohor
// Revision 1.20  2003/03/11 16:31:05  mohor
83
// Mux used for clkout to avoid "gated clocks warning".
84
//
85
// Revision 1.19  2003/03/10 17:34:25  mohor
86
// Doubled declarations removed.
87
//
88
// Revision 1.18  2003/03/01 22:52:11  mohor
89
// Data is latched on read.
90
//
91
// Revision 1.17  2003/02/19 15:09:02  mohor
92
// Incomplete sensitivity list fixed.
93
//
94
// Revision 1.16  2003/02/19 14:44:03  mohor
95
// CAN core finished. Host interface added. Registers finished.
96
// Synchronization to the wishbone finished.
97
//
98
// Revision 1.15  2003/02/18 00:10:15  mohor
99
// Most of the registers added. Registers "arbitration lost capture", "error code
100
// capture" + few more still need to be added.
101
//
102
// Revision 1.14  2003/02/14 20:17:01  mohor
103
// Several registers added. Not finished, yet.
104
//
105
// Revision 1.13  2003/02/12 14:25:30  mohor
106
// abort_tx added.
107
//
108
// Revision 1.12  2003/02/11 00:56:06  mohor
109
// Wishbone interface added.
110
//
111
// Revision 1.11  2003/02/09 02:24:33  mohor
112
// Bosch license warning added. Error counters finished. Overload frames
113
// still need to be fixed.
114
//
115
// Revision 1.10  2003/01/31 01:13:38  mohor
116
// backup.
117
//
118
// Revision 1.9  2003/01/15 13:16:48  mohor
119
// When a frame with "remote request" is received, no data is stored
120
// to fifo, just the frame information (identifier, ...). Data length
121
// that is stored is the received data length and not the actual data
122
// length that is stored to fifo.
123
//
124
// Revision 1.8  2003/01/14 17:25:09  mohor
125
// Addresses corrected to decimal values (previously hex).
126
//
127
// Revision 1.7  2003/01/14 12:19:35  mohor
128
// rx_fifo is now working.
129
//
130
// Revision 1.6  2003/01/10 17:51:34  mohor
131
// Temporary version (backup).
132
//
133
// Revision 1.5  2003/01/09 14:46:58  mohor
134
// Temporary files (backup).
135
//
136
// Revision 1.4  2003/01/08 02:10:55  mohor
137
// Acceptance filter added.
138
//
139
// Revision 1.3  2002/12/27 00:12:52  mohor
140
// Header changed, testbench improved to send a frame (crc still missing).
141
//
142
// Revision 1.2  2002/12/26 16:00:34  mohor
143
// Testbench define file added. Clock divider register added.
144
//
145
// Revision 1.1.1.1  2002/12/20 16:39:21  mohor
146
// Initial
147
//
148
//
149
//
150
 
151
// synopsys translate_off
152
`include "timescale.v"
153
// synopsys translate_on
154
`include "can_defines.v"
155
 
156
module can_registers
157
(
158
  clk,
159
  rst,
160
  cs,
161
  we,
162
  addr,
163
  data_in,
164
  data_out,
165
  irq,
166
 
167
  sample_point,
168
  transmitting,
169
  set_reset_mode,
170
  node_bus_off,
171
  error_status,
172
  rx_err_cnt,
173
  tx_err_cnt,
174
  transmit_status,
175
  receive_status,
176
  tx_successful,
177
  need_to_tx,
178
  overrun,
179
  info_empty,
180
  set_bus_error_irq,
181
  set_arbitration_lost_irq,
182
  arbitration_lost_capture,
183
  node_error_passive,
184
  node_error_active,
185
  rx_message_counter,
186
 
187
 
188
  /* Mode register */
189
  reset_mode,
190
  listen_only_mode,
191
  acceptance_filter_mode,
192
  self_test_mode,
193
 
194
 
195
  /* Command register */
196
  clear_data_overrun,
197
  release_buffer,
198
  abort_tx,
199
  tx_request,
200
  self_rx_request,
201
  single_shot_transmission,
202 104 tadejm
  tx_state,
203
  tx_state_q,
204 125 mohor
  overload_request,
205
  overload_frame,
206 66 mohor
 
207
  /* Arbitration Lost Capture Register */
208
  read_arbitration_lost_capture_reg,
209
 
210
  /* Error Code Capture Register */
211
  read_error_code_capture_reg,
212
  error_capture_code,
213
 
214
  /* Bus Timing 0 register */
215
  baud_r_presc,
216
  sync_jump_width,
217
 
218
  /* Bus Timing 1 register */
219
  time_segment1,
220
  time_segment2,
221
  triple_sampling,
222
 
223
  /* Error Warning Limit register */
224
  error_warning_limit,
225
 
226
  /* Rx Error Counter register */
227
  we_rx_err_cnt,
228
 
229
  /* Tx Error Counter register */
230
  we_tx_err_cnt,
231
 
232
  /* Clock Divider register */
233
  extended_mode,
234
  clkout,
235
 
236
 
237
  /* This section is for BASIC and EXTENDED mode */
238
  /* Acceptance code register */
239
  acceptance_code_0,
240
 
241
  /* Acceptance mask register */
242
  acceptance_mask_0,
243
  /* End: This section is for BASIC and EXTENDED mode */
244
 
245
  /* This section is for EXTENDED mode */
246
  /* Acceptance code register */
247
  acceptance_code_1,
248
  acceptance_code_2,
249
  acceptance_code_3,
250
 
251
  /* Acceptance mask register */
252
  acceptance_mask_1,
253
  acceptance_mask_2,
254
  acceptance_mask_3,
255
  /* End: This section is for EXTENDED mode */
256
 
257
  /* Tx data registers. Holding identifier (basic mode), tx frame information (extended mode) and data */
258
  tx_data_0,
259
  tx_data_1,
260
  tx_data_2,
261
  tx_data_3,
262
  tx_data_4,
263
  tx_data_5,
264
  tx_data_6,
265
  tx_data_7,
266
  tx_data_8,
267
  tx_data_9,
268
  tx_data_10,
269
  tx_data_11,
270
  tx_data_12
271
  /* End: Tx data registers */
272
 
273
 
274
 
275
 
276
);
277
 
278
parameter Tp = 1;
279
 
280
input         clk;
281
input         rst;
282
input         cs;
283
input         we;
284
input   [7:0] addr;
285
input   [7:0] data_in;
286
 
287
output  [7:0] data_out;
288
reg     [7:0] data_out;
289
 
290
output        irq;
291
 
292
input         sample_point;
293
input         transmitting;
294
input         set_reset_mode;
295
input         node_bus_off;
296
input         error_status;
297
input   [7:0] rx_err_cnt;
298
input   [7:0] tx_err_cnt;
299
input         transmit_status;
300
input         receive_status;
301
input         tx_successful;
302
input         need_to_tx;
303
input         overrun;
304
input         info_empty;
305
input         set_bus_error_irq;
306
input         set_arbitration_lost_irq;
307
input   [4:0] arbitration_lost_capture;
308
input         node_error_passive;
309
input         node_error_active;
310
input   [6:0] rx_message_counter;
311
 
312
 
313
 
314
/* Mode register */
315
output        reset_mode;
316
output        listen_only_mode;
317
output        acceptance_filter_mode;
318
output        self_test_mode;
319
 
320
/* Command register */
321
output        clear_data_overrun;
322
output        release_buffer;
323
output        abort_tx;
324
output        tx_request;
325
output        self_rx_request;
326
output        single_shot_transmission;
327 104 tadejm
input         tx_state;
328
input         tx_state_q;
329 125 mohor
output        overload_request;
330
input         overload_frame;
331 66 mohor
 
332 125 mohor
 
333 66 mohor
/* Arbitration Lost Capture Register */
334
output        read_arbitration_lost_capture_reg;
335
 
336
/* Error Code Capture Register */
337
output        read_error_code_capture_reg;
338
input   [7:0] error_capture_code;
339
 
340
/* Bus Timing 0 register */
341
output  [5:0] baud_r_presc;
342
output  [1:0] sync_jump_width;
343
 
344
 
345
/* Bus Timing 1 register */
346
output  [3:0] time_segment1;
347
output  [2:0] time_segment2;
348
output        triple_sampling;
349
 
350
/* Error Warning Limit register */
351
output  [7:0] error_warning_limit;
352
 
353
/* Rx Error Counter register */
354
output        we_rx_err_cnt;
355
 
356
/* Tx Error Counter register */
357
output        we_tx_err_cnt;
358
 
359
/* Clock Divider register */
360
output        extended_mode;
361
output        clkout;
362
 
363
 
364
/* This section is for BASIC and EXTENDED mode */
365
/* Acceptance code register */
366
output  [7:0] acceptance_code_0;
367
 
368
/* Acceptance mask register */
369
output  [7:0] acceptance_mask_0;
370
 
371
/* End: This section is for BASIC and EXTENDED mode */
372
 
373
 
374
/* This section is for EXTENDED mode */
375
/* Acceptance code register */
376
output  [7:0] acceptance_code_1;
377
output  [7:0] acceptance_code_2;
378
output  [7:0] acceptance_code_3;
379
 
380
/* Acceptance mask register */
381
output  [7:0] acceptance_mask_1;
382
output  [7:0] acceptance_mask_2;
383
output  [7:0] acceptance_mask_3;
384
 
385
/* End: This section is for EXTENDED mode */
386
 
387
/* Tx data registers. Holding identifier (basic mode), tx frame information (extended mode) and data */
388
output  [7:0] tx_data_0;
389
output  [7:0] tx_data_1;
390
output  [7:0] tx_data_2;
391
output  [7:0] tx_data_3;
392
output  [7:0] tx_data_4;
393
output  [7:0] tx_data_5;
394
output  [7:0] tx_data_6;
395
output  [7:0] tx_data_7;
396
output  [7:0] tx_data_8;
397
output  [7:0] tx_data_9;
398
output  [7:0] tx_data_10;
399
output  [7:0] tx_data_11;
400
output  [7:0] tx_data_12;
401
/* End: Tx data registers */
402
 
403
 
404
reg           tx_successful_q;
405
reg           overrun_q;
406
reg           overrun_status;
407
reg           transmission_complete;
408
reg           transmit_buffer_status_q;
409
reg           receive_buffer_status;
410
reg           error_status_q;
411
reg           node_bus_off_q;
412
reg           node_error_passive_q;
413
reg           transmit_buffer_status;
414
reg           single_shot_transmission;
415 104 tadejm
reg           self_rx_request;
416 66 mohor
 
417
// Some interrupts exist in basic mode and in extended mode. Since they are in different registers they need to be multiplexed.
418
wire          data_overrun_irq_en;
419
wire          error_warning_irq_en;
420
wire          transmit_irq_en;
421
wire          receive_irq_en;
422
 
423
wire    [7:0] irq_reg;
424
 
425
wire we_mode                  = cs & we & (addr == 8'd0);
426
wire we_command               = cs & we & (addr == 8'd1);
427
wire we_bus_timing_0          = cs & we & (addr == 8'd6) & reset_mode;
428
wire we_bus_timing_1          = cs & we & (addr == 8'd7) & reset_mode;
429
wire we_clock_divider_low     = cs & we & (addr == 8'd31);
430
wire we_clock_divider_hi      = we_clock_divider_low & reset_mode;
431
 
432
wire read = cs & (~we);
433
wire read_irq_reg = read & (addr == 8'd3);
434
assign read_arbitration_lost_capture_reg = read & extended_mode & (addr == 8'd11);
435
assign read_error_code_capture_reg = read & extended_mode & (addr == 8'd12);
436
 
437
/* This section is for BASIC and EXTENDED mode */
438
wire we_acceptance_code_0       = cs & we &   reset_mode  & ((~extended_mode) & (addr == 8'd4)  | extended_mode & (addr == 8'd16));
439
wire we_acceptance_mask_0       = cs & we &   reset_mode  & ((~extended_mode) & (addr == 8'd5)  | extended_mode & (addr == 8'd20));
440
wire we_tx_data_0               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd10) | extended_mode & (addr == 8'd16)) & transmit_buffer_status;
441
wire we_tx_data_1               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd11) | extended_mode & (addr == 8'd17)) & transmit_buffer_status;
442
wire we_tx_data_2               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd12) | extended_mode & (addr == 8'd18)) & transmit_buffer_status;
443
wire we_tx_data_3               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd13) | extended_mode & (addr == 8'd19)) & transmit_buffer_status;
444
wire we_tx_data_4               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd14) | extended_mode & (addr == 8'd20)) & transmit_buffer_status;
445
wire we_tx_data_5               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd15) | extended_mode & (addr == 8'd21)) & transmit_buffer_status;
446
wire we_tx_data_6               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd16) | extended_mode & (addr == 8'd22)) & transmit_buffer_status;
447
wire we_tx_data_7               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd17) | extended_mode & (addr == 8'd23)) & transmit_buffer_status;
448
wire we_tx_data_8               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd18) | extended_mode & (addr == 8'd24)) & transmit_buffer_status;
449
wire we_tx_data_9               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd19) | extended_mode & (addr == 8'd25)) & transmit_buffer_status;
450
wire we_tx_data_10              = cs & we & (~reset_mode) & (                                     extended_mode & (addr == 8'd26)) & transmit_buffer_status;
451
wire we_tx_data_11              = cs & we & (~reset_mode) & (                                     extended_mode & (addr == 8'd27)) & transmit_buffer_status;
452
wire we_tx_data_12              = cs & we & (~reset_mode) & (                                     extended_mode & (addr == 8'd28)) & transmit_buffer_status;
453
/* End: This section is for BASIC and EXTENDED mode */
454
 
455
 
456
/* This section is for EXTENDED mode */
457
wire   we_interrupt_enable      = cs & we & (addr == 8'd4)  & extended_mode;
458
wire   we_error_warning_limit   = cs & we & (addr == 8'd13) & reset_mode & extended_mode;
459
assign we_rx_err_cnt            = cs & we & (addr == 8'd14) & reset_mode & extended_mode;
460
assign we_tx_err_cnt            = cs & we & (addr == 8'd15) & reset_mode & extended_mode;
461
wire   we_acceptance_code_1     = cs & we & (addr == 8'd17) & reset_mode & extended_mode;
462
wire   we_acceptance_code_2     = cs & we & (addr == 8'd18) & reset_mode & extended_mode;
463
wire   we_acceptance_code_3     = cs & we & (addr == 8'd19) & reset_mode & extended_mode;
464
wire   we_acceptance_mask_1     = cs & we & (addr == 8'd21) & reset_mode & extended_mode;
465
wire   we_acceptance_mask_2     = cs & we & (addr == 8'd22) & reset_mode & extended_mode;
466
wire   we_acceptance_mask_3     = cs & we & (addr == 8'd23) & reset_mode & extended_mode;
467
/* End: This section is for EXTENDED mode */
468
 
469
 
470
 
471
always @ (posedge clk)
472
begin
473
  tx_successful_q           <=#Tp tx_successful;
474
  overrun_q                 <=#Tp overrun;
475
  transmit_buffer_status_q  <=#Tp transmit_buffer_status;
476
  error_status_q            <=#Tp error_status;
477
  node_bus_off_q            <=#Tp node_bus_off;
478
  node_error_passive_q      <=#Tp node_error_passive;
479
end
480
 
481
 
482
 
483
/* Mode register */
484
wire   [0:0] mode;
485
wire   [4:1] mode_basic;
486
wire   [3:1] mode_ext;
487
wire         receive_irq_en_basic;
488
wire         transmit_irq_en_basic;
489
wire         error_irq_en_basic;
490
wire         overrun_irq_en_basic;
491
 
492
can_register_asyn_syn #(1, 1'h1) MODE_REG0
493
( .data_in(data_in[0]),
494
  .data_out(mode[0]),
495
  .we(we_mode),
496
  .clk(clk),
497
  .rst(rst),
498
  .rst_sync(set_reset_mode)
499
);
500
 
501
can_register_asyn #(4, 0) MODE_REG_BASIC
502
( .data_in(data_in[4:1]),
503
  .data_out(mode_basic[4:1]),
504
  .we(we_mode),
505
  .clk(clk),
506
  .rst(rst)
507
);
508
 
509
can_register_asyn #(3, 0) MODE_REG_EXT
510
( .data_in(data_in[3:1]),
511
  .data_out(mode_ext[3:1]),
512
  .we(we_mode & reset_mode),
513
  .clk(clk),
514
  .rst(rst)
515
);
516
 
517
assign reset_mode             = mode[0];
518 69 mohor
assign listen_only_mode       = extended_mode & mode_ext[1];
519
assign self_test_mode         = extended_mode & mode_ext[2];
520
assign acceptance_filter_mode = extended_mode & mode_ext[3];
521 66 mohor
 
522
assign receive_irq_en_basic  = mode_basic[1];
523
assign transmit_irq_en_basic = mode_basic[2];
524
assign error_irq_en_basic    = mode_basic[3];
525
assign overrun_irq_en_basic  = mode_basic[4];
526
/* End Mode register */
527
 
528
 
529
/* Command register */
530
wire   [4:0] command;
531
can_register_asyn_syn #(1, 1'h0) COMMAND_REG0
532
( .data_in(data_in[0]),
533
  .data_out(command[0]),
534
  .we(we_command),
535
  .clk(clk),
536
  .rst(rst),
537 104 tadejm
  .rst_sync(command[0] & sample_point)
538 66 mohor
);
539
 
540
can_register_asyn_syn #(1, 1'h0) COMMAND_REG1
541
( .data_in(data_in[1]),
542
  .data_out(command[1]),
543
  .we(we_command),
544
  .clk(clk),
545
  .rst(rst),
546 104 tadejm
  .rst_sync(sample_point & (tx_request | (abort_tx & ~transmitting)))
547 66 mohor
);
548
 
549
can_register_asyn_syn #(2, 2'h0) COMMAND_REG
550
( .data_in(data_in[3:2]),
551
  .data_out(command[3:2]),
552
  .we(we_command),
553
  .clk(clk),
554
  .rst(rst),
555
  .rst_sync(|command[3:2])
556
);
557
 
558
can_register_asyn_syn #(1, 1'h0) COMMAND_REG4
559
( .data_in(data_in[4]),
560
  .data_out(command[4]),
561
  .we(we_command),
562
  .clk(clk),
563
  .rst(rst),
564 104 tadejm
  .rst_sync(command[4] & sample_point)
565 66 mohor
);
566
 
567 104 tadejm
 
568
always @ (posedge clk or posedge rst)
569
begin
570
  if (rst)
571
    self_rx_request <= 1'b0;
572
  else if (command[4] & (~command[0]))
573
    self_rx_request <=#Tp 1'b1;
574
  else if ((~tx_state) & tx_state_q)
575
    self_rx_request <=#Tp 1'b0;
576
end
577
 
578
 
579 66 mohor
assign clear_data_overrun = command[3];
580
assign release_buffer = command[2];
581
assign tx_request = command[0] | command[4];
582 104 tadejm
assign abort_tx = command[1] & (~tx_request);
583 66 mohor
 
584
 
585
always @ (posedge clk or posedge rst)
586
begin
587
  if (rst)
588
    single_shot_transmission <= 1'b0;
589 104 tadejm
  else if (tx_request & command[1] & sample_point)
590 66 mohor
    single_shot_transmission <=#Tp 1'b1;
591 104 tadejm
  else if ((~tx_state) & tx_state_q)
592 66 mohor
    single_shot_transmission <=#Tp 1'b0;
593
end
594
 
595
 
596 125 mohor
/*
597
can_register_asyn_syn #(1, 1'h0) COMMAND_REG_OVERLOAD  // Uncomment this to enable overload requests !!!
598
( .data_in(data_in[5]),
599
  .data_out(overload_request),
600
  .we(we_command),
601
  .clk(clk),
602
  .rst(rst),
603
  .rst_sync(overload_frame & ~overload_frame_q)
604
);
605 66 mohor
 
606 125 mohor
reg           overload_frame_q;
607
 
608
always @ (posedge clk or posedge rst)
609
begin
610
  if (rst)
611
    overload_frame_q <= 1'b0;
612
  else
613
    overload_frame_q <=#Tp overload_frame;
614
end
615
*/
616
assign overload_request = 0;  // Overload requests are not supported, yet !!!
617
 
618
 
619
 
620
 
621
 
622 66 mohor
/* End Command register */
623
 
624
 
625
/* Status register */
626
 
627
wire   [7:0] status;
628
 
629
assign status[7] = node_bus_off;
630
assign status[6] = error_status;
631
assign status[5] = transmit_status;
632
assign status[4] = receive_status;
633
assign status[3] = transmission_complete;
634
assign status[2] = transmit_buffer_status;
635
assign status[1] = overrun_status;
636
assign status[0] = receive_buffer_status;
637
 
638
 
639
 
640
always @ (posedge clk or posedge rst)
641
begin
642
  if (rst)
643
    transmission_complete <= 1'b1;
644
  else if (tx_successful & (~tx_successful_q) | abort_tx)
645
    transmission_complete <=#Tp 1'b1;
646
  else if (tx_request)
647
    transmission_complete <=#Tp 1'b0;
648
end
649
 
650
 
651
always @ (posedge clk or posedge rst)
652
begin
653
  if (rst)
654
    transmit_buffer_status <= 1'b1;
655
  else if (tx_request)
656
    transmit_buffer_status <=#Tp 1'b0;
657
  else if (~need_to_tx)
658
    transmit_buffer_status <=#Tp 1'b1;
659
end
660
 
661
 
662
always @ (posedge clk or posedge rst)
663
begin
664
  if (rst)
665
    overrun_status <= 1'b0;
666
  else if (overrun & (~overrun_q))
667
    overrun_status <=#Tp 1'b1;
668
  else if (clear_data_overrun)
669
    overrun_status <=#Tp 1'b0;
670
end
671
 
672
 
673
always @ (posedge clk or posedge rst)
674
begin
675
  if (rst)
676
    receive_buffer_status <= 1'b0;
677
  else if (release_buffer)
678
    receive_buffer_status <=#Tp 1'b0;
679
  else if (~info_empty)
680
    receive_buffer_status <=#Tp 1'b1;
681
end
682
 
683
/* End Status register */
684
 
685
 
686
/* Interrupt Enable register (extended mode) */
687
wire   [7:0] irq_en_ext;
688
wire         bus_error_irq_en;
689
wire         arbitration_lost_irq_en;
690
wire         error_passive_irq_en;
691
wire         data_overrun_irq_en_ext;
692
wire         error_warning_irq_en_ext;
693
wire         transmit_irq_en_ext;
694
wire         receive_irq_en_ext;
695
 
696
can_register #(8) IRQ_EN_REG
697
( .data_in(data_in),
698
  .data_out(irq_en_ext),
699
  .we(we_interrupt_enable),
700
  .clk(clk)
701
);
702
 
703
 
704
assign bus_error_irq_en             = irq_en_ext[7];
705
assign arbitration_lost_irq_en      = irq_en_ext[6];
706
assign error_passive_irq_en         = irq_en_ext[5];
707
assign data_overrun_irq_en_ext      = irq_en_ext[3];
708
assign error_warning_irq_en_ext     = irq_en_ext[2];
709
assign transmit_irq_en_ext          = irq_en_ext[1];
710
assign receive_irq_en_ext           = irq_en_ext[0];
711
/* End Bus Timing 0 register */
712
 
713
 
714
/* Bus Timing 0 register */
715
wire   [7:0] bus_timing_0;
716
can_register #(8) BUS_TIMING_0_REG
717
( .data_in(data_in),
718
  .data_out(bus_timing_0),
719
  .we(we_bus_timing_0),
720
  .clk(clk)
721
);
722
 
723
assign baud_r_presc = bus_timing_0[5:0];
724
assign sync_jump_width = bus_timing_0[7:6];
725
/* End Bus Timing 0 register */
726
 
727
 
728
/* Bus Timing 1 register */
729
wire   [7:0] bus_timing_1;
730
can_register #(8) BUS_TIMING_1_REG
731
( .data_in(data_in),
732
  .data_out(bus_timing_1),
733
  .we(we_bus_timing_1),
734
  .clk(clk)
735
);
736
 
737
assign time_segment1 = bus_timing_1[3:0];
738
assign time_segment2 = bus_timing_1[6:4];
739
assign triple_sampling = bus_timing_1[7];
740
/* End Bus Timing 1 register */
741
 
742
 
743
/* Error Warning Limit register */
744
can_register_asyn #(8, 96) ERROR_WARNING_REG
745
( .data_in(data_in),
746
  .data_out(error_warning_limit),
747
  .we(we_error_warning_limit),
748
  .clk(clk),
749
  .rst(rst)
750
);
751
/* End Error Warning Limit register */
752
 
753
 
754
 
755
/* Clock Divider register */
756
wire   [7:0] clock_divider;
757
wire         clock_off;
758
wire   [2:0] cd;
759
reg    [2:0] clkout_div;
760
reg    [2:0] clkout_cnt;
761
reg          clkout_tmp;
762
//reg          clkout;
763
 
764 92 mohor
can_register_asyn #(1, 0) CLOCK_DIVIDER_REG_7
765 66 mohor
( .data_in(data_in[7]),
766
  .data_out(clock_divider[7]),
767
  .we(we_clock_divider_hi),
768 92 mohor
  .clk(clk),
769
  .rst(rst)
770 66 mohor
);
771
 
772
assign clock_divider[6:4] = 3'h0;
773
 
774 92 mohor
can_register_asyn #(1, 0) CLOCK_DIVIDER_REG_3
775 66 mohor
( .data_in(data_in[3]),
776
  .data_out(clock_divider[3]),
777
  .we(we_clock_divider_hi),
778 92 mohor
  .clk(clk),
779
  .rst(rst)
780 66 mohor
);
781
 
782 92 mohor
can_register_asyn #(3, 0) CLOCK_DIVIDER_REG_LOW
783 66 mohor
( .data_in(data_in[2:0]),
784
  .data_out(clock_divider[2:0]),
785
  .we(we_clock_divider_low),
786 92 mohor
  .clk(clk),
787
  .rst(rst)
788 66 mohor
);
789
 
790
assign extended_mode = clock_divider[7];
791
assign clock_off     = clock_divider[3];
792
assign cd[2:0]       = clock_divider[2:0];
793
 
794
 
795
 
796
always @ (cd)
797
begin
798 93 mohor
  case (cd)                       /* synthesis full_case parallel_case */
799 111 mohor
    3'b000 : clkout_div = 3'd0;
800
    3'b001 : clkout_div = 3'd1;
801
    3'b010 : clkout_div = 3'd2;
802
    3'b011 : clkout_div = 3'd3;
803
    3'b100 : clkout_div = 3'd4;
804
    3'b101 : clkout_div = 3'd5;
805
    3'b110 : clkout_div = 3'd6;
806
    3'b111 : clkout_div = 3'd0;
807 66 mohor
  endcase
808
end
809
 
810
 
811
 
812
always @ (posedge clk or posedge rst)
813
begin
814
  if (rst)
815
    clkout_cnt <= 3'h0;
816
  else if (clkout_cnt == clkout_div)
817
    clkout_cnt <=#Tp 3'h0;
818
  else
819
    clkout_cnt <= clkout_cnt + 1'b1;
820
end
821
 
822
 
823
 
824
always @ (posedge clk or posedge rst)
825
begin
826
  if (rst)
827
    clkout_tmp <= 1'b0;
828
  else if (clkout_cnt == clkout_div)
829
    clkout_tmp <=#Tp ~clkout_tmp;
830
end
831
 
832
 
833
/*
834
//always @ (cd or clk or clkout_tmp or clock_off)
835
always @ (cd or clkout_tmp or clock_off)
836
begin
837
  if (clock_off)
838
    clkout <=#Tp 1'b1;
839
//  else if (&cd)
840
//    clkout <=#Tp clk;
841
  else
842
    clkout <=#Tp clkout_tmp;
843
end
844
*/
845
assign clkout = clock_off ? 1'b1 : ((&cd)? clk : clkout_tmp);
846
 
847
 
848
 
849
/* End Clock Divider register */
850
 
851
 
852
 
853
 
854
/* This section is for BASIC and EXTENDED mode */
855
 
856
/* Acceptance code register */
857
can_register #(8) ACCEPTANCE_CODE_REG0
858
( .data_in(data_in),
859
  .data_out(acceptance_code_0),
860
  .we(we_acceptance_code_0),
861
  .clk(clk)
862
);
863
/* End: Acceptance code register */
864
 
865
 
866
/* Acceptance mask register */
867
can_register #(8) ACCEPTANCE_MASK_REG0
868
( .data_in(data_in),
869
  .data_out(acceptance_mask_0),
870
  .we(we_acceptance_mask_0),
871
  .clk(clk)
872
);
873
/* End: Acceptance mask register */
874
/* End: This section is for BASIC and EXTENDED mode */
875
 
876
 
877
/* Tx data 0 register. */
878
can_register #(8) TX_DATA_REG0
879
( .data_in(data_in),
880
  .data_out(tx_data_0),
881
  .we(we_tx_data_0),
882
  .clk(clk)
883
);
884
/* End: Tx data 0 register. */
885
 
886
 
887
/* Tx data 1 register. */
888
can_register #(8) TX_DATA_REG1
889
( .data_in(data_in),
890
  .data_out(tx_data_1),
891
  .we(we_tx_data_1),
892
  .clk(clk)
893
);
894
/* End: Tx data 1 register. */
895
 
896
 
897
/* Tx data 2 register. */
898
can_register #(8) TX_DATA_REG2
899
( .data_in(data_in),
900
  .data_out(tx_data_2),
901
  .we(we_tx_data_2),
902
  .clk(clk)
903
);
904
/* End: Tx data 2 register. */
905
 
906
 
907
/* Tx data 3 register. */
908
can_register #(8) TX_DATA_REG3
909
( .data_in(data_in),
910
  .data_out(tx_data_3),
911
  .we(we_tx_data_3),
912
  .clk(clk)
913
);
914
/* End: Tx data 3 register. */
915
 
916
 
917
/* Tx data 4 register. */
918
can_register #(8) TX_DATA_REG4
919
( .data_in(data_in),
920
  .data_out(tx_data_4),
921
  .we(we_tx_data_4),
922
  .clk(clk)
923
);
924
/* End: Tx data 4 register. */
925
 
926
 
927
/* Tx data 5 register. */
928
can_register #(8) TX_DATA_REG5
929
( .data_in(data_in),
930
  .data_out(tx_data_5),
931
  .we(we_tx_data_5),
932
  .clk(clk)
933
);
934
/* End: Tx data 5 register. */
935
 
936
 
937
/* Tx data 6 register. */
938
can_register #(8) TX_DATA_REG6
939
( .data_in(data_in),
940
  .data_out(tx_data_6),
941
  .we(we_tx_data_6),
942
  .clk(clk)
943
);
944
/* End: Tx data 6 register. */
945
 
946
 
947
/* Tx data 7 register. */
948
can_register #(8) TX_DATA_REG7
949
( .data_in(data_in),
950
  .data_out(tx_data_7),
951
  .we(we_tx_data_7),
952
  .clk(clk)
953
);
954
/* End: Tx data 7 register. */
955
 
956
 
957
/* Tx data 8 register. */
958
can_register #(8) TX_DATA_REG8
959
( .data_in(data_in),
960
  .data_out(tx_data_8),
961
  .we(we_tx_data_8),
962
  .clk(clk)
963
);
964
/* End: Tx data 8 register. */
965
 
966
 
967
/* Tx data 9 register. */
968
can_register #(8) TX_DATA_REG9
969
( .data_in(data_in),
970
  .data_out(tx_data_9),
971
  .we(we_tx_data_9),
972
  .clk(clk)
973
);
974
/* End: Tx data 9 register. */
975
 
976
 
977
/* Tx data 10 register. */
978
can_register #(8) TX_DATA_REG10
979
( .data_in(data_in),
980
  .data_out(tx_data_10),
981
  .we(we_tx_data_10),
982
  .clk(clk)
983
);
984
/* End: Tx data 10 register. */
985
 
986
 
987
/* Tx data 11 register. */
988
can_register #(8) TX_DATA_REG11
989
( .data_in(data_in),
990
  .data_out(tx_data_11),
991
  .we(we_tx_data_11),
992
  .clk(clk)
993
);
994
/* End: Tx data 11 register. */
995
 
996
 
997
/* Tx data 12 register. */
998
can_register #(8) TX_DATA_REG12
999
( .data_in(data_in),
1000
  .data_out(tx_data_12),
1001
  .we(we_tx_data_12),
1002
  .clk(clk)
1003
);
1004
/* End: Tx data 12 register. */
1005
 
1006
 
1007
 
1008
 
1009
 
1010
/* This section is for EXTENDED mode */
1011
 
1012
/* Acceptance code register 1 */
1013
can_register #(8) ACCEPTANCE_CODE_REG1
1014
( .data_in(data_in),
1015
  .data_out(acceptance_code_1),
1016
  .we(we_acceptance_code_1),
1017
  .clk(clk)
1018
);
1019
/* End: Acceptance code register */
1020
 
1021
 
1022
/* Acceptance code register 2 */
1023
can_register #(8) ACCEPTANCE_CODE_REG2
1024
( .data_in(data_in),
1025
  .data_out(acceptance_code_2),
1026
  .we(we_acceptance_code_2),
1027
  .clk(clk)
1028
);
1029
/* End: Acceptance code register */
1030
 
1031
 
1032
/* Acceptance code register 3 */
1033
can_register #(8) ACCEPTANCE_CODE_REG3
1034
( .data_in(data_in),
1035
  .data_out(acceptance_code_3),
1036
  .we(we_acceptance_code_3),
1037
  .clk(clk)
1038
);
1039
/* End: Acceptance code register */
1040
 
1041
 
1042
/* Acceptance mask register 1 */
1043
can_register #(8) ACCEPTANCE_MASK_REG1
1044
( .data_in(data_in),
1045
  .data_out(acceptance_mask_1),
1046
  .we(we_acceptance_mask_1),
1047
  .clk(clk)
1048
);
1049
/* End: Acceptance code register */
1050
 
1051
 
1052
/* Acceptance mask register 2 */
1053
can_register #(8) ACCEPTANCE_MASK_REG2
1054
( .data_in(data_in),
1055
  .data_out(acceptance_mask_2),
1056
  .we(we_acceptance_mask_2),
1057
  .clk(clk)
1058
);
1059
/* End: Acceptance code register */
1060
 
1061
 
1062
/* Acceptance mask register 3 */
1063
can_register #(8) ACCEPTANCE_MASK_REG3
1064
( .data_in(data_in),
1065
  .data_out(acceptance_mask_3),
1066
  .we(we_acceptance_mask_3),
1067
  .clk(clk)
1068
);
1069
/* End: Acceptance code register */
1070
 
1071
 
1072
/* End: This section is for EXTENDED mode */
1073
 
1074
 
1075
 
1076
 
1077
// Reading data from registers
1078 111 mohor
always @ ( addr or extended_mode or mode or bus_timing_0 or bus_timing_1 or clock_divider or
1079 66 mohor
           acceptance_code_0 or acceptance_code_1 or acceptance_code_2 or acceptance_code_3 or
1080
           acceptance_mask_0 or acceptance_mask_1 or acceptance_mask_2 or acceptance_mask_3 or
1081
           reset_mode or tx_data_0 or tx_data_1 or tx_data_2 or tx_data_3 or tx_data_4 or
1082
           tx_data_5 or tx_data_6 or tx_data_7 or tx_data_8 or tx_data_9 or status or
1083
           error_warning_limit or rx_err_cnt or tx_err_cnt or irq_en_ext or irq_reg or mode_ext or
1084
           arbitration_lost_capture or rx_message_counter or mode_basic or error_capture_code
1085
         )
1086
begin
1087 111 mohor
  case({extended_mode, addr[4:0]})  /* synthesis parallel_case */
1088
    {1'h1, 5'd00} :  data_out = {4'b0000, mode_ext[3:1], mode[0]};      // extended mode
1089
    {1'h1, 5'd01} :  data_out = 8'h0;                                   // extended mode
1090
    {1'h1, 5'd02} :  data_out = status;                                 // extended mode
1091
    {1'h1, 5'd03} :  data_out = irq_reg;                                // extended mode
1092
    {1'h1, 5'd04} :  data_out = irq_en_ext;                             // extended mode
1093
    {1'h1, 5'd06} :  data_out = bus_timing_0;                           // extended mode
1094
    {1'h1, 5'd07} :  data_out = bus_timing_1;                           // extended mode
1095
    {1'h1, 5'd11} :  data_out = {3'h0, arbitration_lost_capture[4:0]};  // extended mode
1096
    {1'h1, 5'd12} :  data_out = error_capture_code;                     // extended mode
1097
    {1'h1, 5'd13} :  data_out = error_warning_limit;                    // extended mode
1098
    {1'h1, 5'd14} :  data_out = rx_err_cnt;                             // extended mode
1099
    {1'h1, 5'd15} :  data_out = tx_err_cnt;                             // extended mode
1100
    {1'h1, 5'd16} :  data_out = acceptance_code_0;                      // extended mode
1101
    {1'h1, 5'd17} :  data_out = acceptance_code_1;                      // extended mode
1102
    {1'h1, 5'd18} :  data_out = acceptance_code_2;                      // extended mode
1103
    {1'h1, 5'd19} :  data_out = acceptance_code_3;                      // extended mode
1104
    {1'h1, 5'd20} :  data_out = acceptance_mask_0;                      // extended mode
1105
    {1'h1, 5'd21} :  data_out = acceptance_mask_1;                      // extended mode
1106
    {1'h1, 5'd22} :  data_out = acceptance_mask_2;                      // extended mode
1107
    {1'h1, 5'd23} :  data_out = acceptance_mask_3;                      // extended mode
1108
    {1'h1, 5'd24} :  data_out = 8'h0;                                   // extended mode
1109
    {1'h1, 5'd25} :  data_out = 8'h0;                                   // extended mode
1110
    {1'h1, 5'd26} :  data_out = 8'h0;                                   // extended mode
1111
    {1'h1, 5'd27} :  data_out = 8'h0;                                   // extended mode
1112
    {1'h1, 5'd28} :  data_out = 8'h0;                                   // extended mode
1113
    {1'h1, 5'd29} :  data_out = {1'b0, rx_message_counter};             // extended mode
1114
    {1'h1, 5'd31} :  data_out = clock_divider;                          // extended mode
1115
    {1'h0, 5'd00} :  data_out = {3'b001, mode_basic[4:1], mode[0]};     // basic mode
1116
    {1'h0, 5'd01} :  data_out = 8'hff;                                  // basic mode
1117
    {1'h0, 5'd02} :  data_out = status;                                 // basic mode
1118
    {1'h0, 5'd03} :  data_out = {4'hf, irq_reg[3:0]};                   // basic mode
1119
    {1'h0, 5'd04} :  data_out = reset_mode? acceptance_code_0 : 8'hff;  // basic mode
1120
    {1'h0, 5'd05} :  data_out = reset_mode? acceptance_mask_0 : 8'hff;  // basic mode
1121
    {1'h0, 5'd06} :  data_out = reset_mode? bus_timing_0 : 8'hff;       // basic mode
1122
    {1'h0, 5'd07} :  data_out = reset_mode? bus_timing_1 : 8'hff;       // basic mode
1123
    {1'h0, 5'd10} :  data_out = reset_mode? 8'hff : tx_data_0;          // basic mode
1124
    {1'h0, 5'd11} :  data_out = reset_mode? 8'hff : tx_data_1;          // basic mode
1125
    {1'h0, 5'd12} :  data_out = reset_mode? 8'hff : tx_data_2;          // basic mode
1126
    {1'h0, 5'd13} :  data_out = reset_mode? 8'hff : tx_data_3;          // basic mode
1127
    {1'h0, 5'd14} :  data_out = reset_mode? 8'hff : tx_data_4;          // basic mode
1128
    {1'h0, 5'd15} :  data_out = reset_mode? 8'hff : tx_data_5;          // basic mode
1129
    {1'h0, 5'd16} :  data_out = reset_mode? 8'hff : tx_data_6;          // basic mode
1130
    {1'h0, 5'd17} :  data_out = reset_mode? 8'hff : tx_data_7;          // basic mode
1131
    {1'h0, 5'd18} :  data_out = reset_mode? 8'hff : tx_data_8;          // basic mode
1132
    {1'h0, 5'd19} :  data_out = reset_mode? 8'hff : tx_data_9;          // basic mode
1133
    {1'h0, 5'd31} :  data_out = clock_divider;                          // basic mode
1134
    default :  data_out = 8'h0;                                   // the rest is read as 0
1135
  endcase
1136 66 mohor
end
1137
 
1138
 
1139
// Some interrupts exist in basic mode and in extended mode. Since they are in different registers they need to be multiplexed.
1140
assign data_overrun_irq_en  = extended_mode ? data_overrun_irq_en_ext  : overrun_irq_en_basic;
1141
assign error_warning_irq_en = extended_mode ? error_warning_irq_en_ext : error_irq_en_basic;
1142
assign transmit_irq_en      = extended_mode ? transmit_irq_en_ext      : transmit_irq_en_basic;
1143
assign receive_irq_en       = extended_mode ? receive_irq_en_ext       : receive_irq_en_basic;
1144
 
1145
 
1146
reg data_overrun_irq;
1147
always @ (posedge clk or posedge rst)
1148
begin
1149
  if (rst)
1150
    data_overrun_irq <= 1'b0;
1151
  else if (overrun & (~overrun_q) & data_overrun_irq_en)
1152
    data_overrun_irq <=#Tp 1'b1;
1153
  else if (read_irq_reg)
1154
    data_overrun_irq <=#Tp 1'b0;
1155
end
1156
 
1157
 
1158
reg transmit_irq;
1159
always @ (posedge clk or posedge rst)
1160
begin
1161
  if (rst)
1162
    transmit_irq <= 1'b0;
1163
  else if (transmit_buffer_status & (~transmit_buffer_status_q) & transmit_irq_en)
1164
    transmit_irq <=#Tp 1'b1;
1165
  else if (read_irq_reg)
1166
    transmit_irq <=#Tp 1'b0;
1167
end
1168
 
1169
 
1170
reg receive_irq;
1171
always @ (posedge clk or posedge rst)
1172
begin
1173
  if (rst)
1174
    receive_irq <= 1'b0;
1175
  else if (release_buffer)
1176
    receive_irq <=#Tp 1'b0;
1177
  else if ((~info_empty) & (~receive_irq) & receive_irq_en)
1178
    receive_irq <=#Tp 1'b1;
1179
end
1180
 
1181
 
1182
reg error_irq;
1183
always @ (posedge clk or posedge rst)
1184
begin
1185
  if (rst)
1186
    error_irq <= 1'b0;
1187
  else if (((error_status ^ error_status_q) | (node_bus_off ^ node_bus_off_q)) & error_warning_irq_en)
1188
    error_irq <=#Tp 1'b1;
1189
  else if (read_irq_reg)
1190
    error_irq <=#Tp 1'b0;
1191
end
1192
 
1193
 
1194
reg bus_error_irq;
1195
always @ (posedge clk or posedge rst)
1196
begin
1197
  if (rst)
1198
    bus_error_irq <= 1'b0;
1199
  else if (set_bus_error_irq & bus_error_irq_en)
1200
    bus_error_irq <=#Tp 1'b1;
1201
  else if (read_irq_reg)
1202
    bus_error_irq <=#Tp 1'b0;
1203
end
1204
 
1205
 
1206
reg arbitration_lost_irq;
1207
always @ (posedge clk or posedge rst)
1208
begin
1209
  if (rst)
1210
    arbitration_lost_irq <= 1'b0;
1211
  else if (set_arbitration_lost_irq & arbitration_lost_irq_en)
1212
    arbitration_lost_irq <=#Tp 1'b1;
1213
  else if (read_irq_reg)
1214
    arbitration_lost_irq <=#Tp 1'b0;
1215
end
1216
 
1217
 
1218
 
1219
reg error_passive_irq;
1220
always @ (posedge clk or posedge rst)
1221
begin
1222
  if (rst)
1223
    error_passive_irq <= 1'b0;
1224
  else if ((node_error_passive & (~node_error_passive_q) | (~node_error_passive) & node_error_passive_q & node_error_active) & error_passive_irq_en)
1225
    error_passive_irq <=#Tp 1'b1;
1226
  else if (read_irq_reg)
1227
    error_passive_irq <=#Tp 1'b0;
1228
end
1229
 
1230
 
1231
 
1232
assign irq_reg = {bus_error_irq, arbitration_lost_irq, error_passive_irq, 1'b0, data_overrun_irq, error_irq, transmit_irq, receive_irq};
1233
 
1234
assign irq = data_overrun_irq | transmit_irq | receive_irq | error_irq | bus_error_irq | arbitration_lost_irq | error_passive_irq;
1235
 
1236
 
1237
 
1238
 
1239
 
1240
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.