OpenCores
URL https://opencores.org/ocsvn/can/can/trunk

Subversion Repositories can

[/] [can/] [tags/] [rel_22/] [rtl/] [verilog/] [can_registers.v] - Blame information for rev 141

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 66 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  can_registers.v                                             ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the CAN Protocol Controller            ////
7
////  http://www.opencores.org/projects/can/                      ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15
////  All additional information is available in the README.txt   ////
16
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2002, 2003 Authors                             ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//// The CAN protocol is developed by Robert Bosch GmbH and       ////
44
//// protected by patents. Anybody who wants to implement this    ////
45
//// CAN IP core on silicon has to obtain a CAN protocol license  ////
46
//// from Bosch.                                                  ////
47
////                                                              ////
48
//////////////////////////////////////////////////////////////////////
49
//
50
// CVS Revision History
51
//
52
// $Log: not supported by cvs2svn $
53 141 igorm
// Revision 1.31  2003/09/25 18:55:49  mohor
54
// Synchronization changed, error counters fixed.
55
//
56 125 mohor
// Revision 1.30  2003/07/16 15:19:34  mohor
57
// Fixed according to the linter.
58
// Case statement for data_out joined.
59
//
60 111 mohor
// Revision 1.29  2003/07/10 01:59:04  tadejm
61
// Synchronization fixed. In some strange cases it didn't work according to
62
// the VHDL reference model.
63
//
64 104 tadejm
// Revision 1.28  2003/07/07 11:21:37  mohor
65
// Little fixes (to fix warnings).
66
//
67 102 mohor
// Revision 1.27  2003/06/22 09:43:03  mohor
68
// synthesi full_case parallel_case fixed.
69
//
70 93 mohor
// Revision 1.26  2003/06/22 01:33:14  mohor
71
// clkout is clk/2 after the reset.
72
//
73 92 mohor
// Revision 1.25  2003/06/21 12:16:30  mohor
74
// paralel_case and full_case compiler directives added to case statements.
75
//
76 90 mohor
// Revision 1.24  2003/06/09 11:22:54  mohor
77
// data_out is already registered in the can_top.v file.
78
//
79 70 mohor
// Revision 1.23  2003/04/15 15:31:24  mohor
80
// Some features are supported in extended mode only (listen_only_mode...).
81
//
82 69 mohor
// Revision 1.22  2003/03/20 16:58:50  mohor
83
// unix.
84
//
85 66 mohor
// Revision 1.20  2003/03/11 16:31:05  mohor
86
// Mux used for clkout to avoid "gated clocks warning".
87
//
88
// Revision 1.19  2003/03/10 17:34:25  mohor
89
// Doubled declarations removed.
90
//
91
// Revision 1.18  2003/03/01 22:52:11  mohor
92
// Data is latched on read.
93
//
94
// Revision 1.17  2003/02/19 15:09:02  mohor
95
// Incomplete sensitivity list fixed.
96
//
97
// Revision 1.16  2003/02/19 14:44:03  mohor
98
// CAN core finished. Host interface added. Registers finished.
99
// Synchronization to the wishbone finished.
100
//
101
// Revision 1.15  2003/02/18 00:10:15  mohor
102
// Most of the registers added. Registers "arbitration lost capture", "error code
103
// capture" + few more still need to be added.
104
//
105
// Revision 1.14  2003/02/14 20:17:01  mohor
106
// Several registers added. Not finished, yet.
107
//
108
// Revision 1.13  2003/02/12 14:25:30  mohor
109
// abort_tx added.
110
//
111
// Revision 1.12  2003/02/11 00:56:06  mohor
112
// Wishbone interface added.
113
//
114
// Revision 1.11  2003/02/09 02:24:33  mohor
115
// Bosch license warning added. Error counters finished. Overload frames
116
// still need to be fixed.
117
//
118
// Revision 1.10  2003/01/31 01:13:38  mohor
119
// backup.
120
//
121
// Revision 1.9  2003/01/15 13:16:48  mohor
122
// When a frame with "remote request" is received, no data is stored
123
// to fifo, just the frame information (identifier, ...). Data length
124
// that is stored is the received data length and not the actual data
125
// length that is stored to fifo.
126
//
127
// Revision 1.8  2003/01/14 17:25:09  mohor
128
// Addresses corrected to decimal values (previously hex).
129
//
130
// Revision 1.7  2003/01/14 12:19:35  mohor
131
// rx_fifo is now working.
132
//
133
// Revision 1.6  2003/01/10 17:51:34  mohor
134
// Temporary version (backup).
135
//
136
// Revision 1.5  2003/01/09 14:46:58  mohor
137
// Temporary files (backup).
138
//
139
// Revision 1.4  2003/01/08 02:10:55  mohor
140
// Acceptance filter added.
141
//
142
// Revision 1.3  2002/12/27 00:12:52  mohor
143
// Header changed, testbench improved to send a frame (crc still missing).
144
//
145
// Revision 1.2  2002/12/26 16:00:34  mohor
146
// Testbench define file added. Clock divider register added.
147
//
148
// Revision 1.1.1.1  2002/12/20 16:39:21  mohor
149
// Initial
150
//
151
//
152
//
153
 
154
// synopsys translate_off
155
`include "timescale.v"
156
// synopsys translate_on
157
`include "can_defines.v"
158
 
159
module can_registers
160
(
161
  clk,
162
  rst,
163
  cs,
164
  we,
165
  addr,
166
  data_in,
167
  data_out,
168
  irq,
169
 
170
  sample_point,
171
  transmitting,
172
  set_reset_mode,
173
  node_bus_off,
174
  error_status,
175
  rx_err_cnt,
176
  tx_err_cnt,
177
  transmit_status,
178
  receive_status,
179
  tx_successful,
180
  need_to_tx,
181
  overrun,
182
  info_empty,
183
  set_bus_error_irq,
184
  set_arbitration_lost_irq,
185
  arbitration_lost_capture,
186
  node_error_passive,
187
  node_error_active,
188
  rx_message_counter,
189
 
190
 
191
  /* Mode register */
192
  reset_mode,
193
  listen_only_mode,
194
  acceptance_filter_mode,
195
  self_test_mode,
196
 
197
 
198
  /* Command register */
199
  clear_data_overrun,
200
  release_buffer,
201
  abort_tx,
202
  tx_request,
203
  self_rx_request,
204
  single_shot_transmission,
205 104 tadejm
  tx_state,
206
  tx_state_q,
207 125 mohor
  overload_request,
208
  overload_frame,
209 66 mohor
 
210
  /* Arbitration Lost Capture Register */
211
  read_arbitration_lost_capture_reg,
212
 
213
  /* Error Code Capture Register */
214
  read_error_code_capture_reg,
215
  error_capture_code,
216
 
217
  /* Bus Timing 0 register */
218
  baud_r_presc,
219
  sync_jump_width,
220
 
221
  /* Bus Timing 1 register */
222
  time_segment1,
223
  time_segment2,
224
  triple_sampling,
225
 
226
  /* Error Warning Limit register */
227
  error_warning_limit,
228
 
229
  /* Rx Error Counter register */
230
  we_rx_err_cnt,
231
 
232
  /* Tx Error Counter register */
233
  we_tx_err_cnt,
234
 
235
  /* Clock Divider register */
236
  extended_mode,
237
  clkout,
238
 
239
 
240
  /* This section is for BASIC and EXTENDED mode */
241
  /* Acceptance code register */
242
  acceptance_code_0,
243
 
244
  /* Acceptance mask register */
245
  acceptance_mask_0,
246
  /* End: This section is for BASIC and EXTENDED mode */
247
 
248
  /* This section is for EXTENDED mode */
249
  /* Acceptance code register */
250
  acceptance_code_1,
251
  acceptance_code_2,
252
  acceptance_code_3,
253
 
254
  /* Acceptance mask register */
255
  acceptance_mask_1,
256
  acceptance_mask_2,
257
  acceptance_mask_3,
258
  /* End: This section is for EXTENDED mode */
259
 
260
  /* Tx data registers. Holding identifier (basic mode), tx frame information (extended mode) and data */
261
  tx_data_0,
262
  tx_data_1,
263
  tx_data_2,
264
  tx_data_3,
265
  tx_data_4,
266
  tx_data_5,
267
  tx_data_6,
268
  tx_data_7,
269
  tx_data_8,
270
  tx_data_9,
271
  tx_data_10,
272
  tx_data_11,
273
  tx_data_12
274
  /* End: Tx data registers */
275
 
276
 
277
 
278
 
279
);
280
 
281
parameter Tp = 1;
282
 
283
input         clk;
284
input         rst;
285
input         cs;
286
input         we;
287
input   [7:0] addr;
288
input   [7:0] data_in;
289
 
290
output  [7:0] data_out;
291
reg     [7:0] data_out;
292
 
293
output        irq;
294
 
295
input         sample_point;
296
input         transmitting;
297
input         set_reset_mode;
298
input         node_bus_off;
299
input         error_status;
300
input   [7:0] rx_err_cnt;
301
input   [7:0] tx_err_cnt;
302
input         transmit_status;
303
input         receive_status;
304
input         tx_successful;
305
input         need_to_tx;
306
input         overrun;
307
input         info_empty;
308
input         set_bus_error_irq;
309
input         set_arbitration_lost_irq;
310
input   [4:0] arbitration_lost_capture;
311
input         node_error_passive;
312
input         node_error_active;
313
input   [6:0] rx_message_counter;
314
 
315
 
316
 
317
/* Mode register */
318
output        reset_mode;
319
output        listen_only_mode;
320
output        acceptance_filter_mode;
321
output        self_test_mode;
322
 
323
/* Command register */
324
output        clear_data_overrun;
325
output        release_buffer;
326
output        abort_tx;
327
output        tx_request;
328
output        self_rx_request;
329
output        single_shot_transmission;
330 104 tadejm
input         tx_state;
331
input         tx_state_q;
332 125 mohor
output        overload_request;
333
input         overload_frame;
334 66 mohor
 
335 125 mohor
 
336 66 mohor
/* Arbitration Lost Capture Register */
337
output        read_arbitration_lost_capture_reg;
338
 
339
/* Error Code Capture Register */
340
output        read_error_code_capture_reg;
341
input   [7:0] error_capture_code;
342
 
343
/* Bus Timing 0 register */
344
output  [5:0] baud_r_presc;
345
output  [1:0] sync_jump_width;
346
 
347
 
348
/* Bus Timing 1 register */
349
output  [3:0] time_segment1;
350
output  [2:0] time_segment2;
351
output        triple_sampling;
352
 
353
/* Error Warning Limit register */
354
output  [7:0] error_warning_limit;
355
 
356
/* Rx Error Counter register */
357
output        we_rx_err_cnt;
358
 
359
/* Tx Error Counter register */
360
output        we_tx_err_cnt;
361
 
362
/* Clock Divider register */
363
output        extended_mode;
364
output        clkout;
365
 
366
 
367
/* This section is for BASIC and EXTENDED mode */
368
/* Acceptance code register */
369
output  [7:0] acceptance_code_0;
370
 
371
/* Acceptance mask register */
372
output  [7:0] acceptance_mask_0;
373
 
374
/* End: This section is for BASIC and EXTENDED mode */
375
 
376
 
377
/* This section is for EXTENDED mode */
378
/* Acceptance code register */
379
output  [7:0] acceptance_code_1;
380
output  [7:0] acceptance_code_2;
381
output  [7:0] acceptance_code_3;
382
 
383
/* Acceptance mask register */
384
output  [7:0] acceptance_mask_1;
385
output  [7:0] acceptance_mask_2;
386
output  [7:0] acceptance_mask_3;
387
 
388
/* End: This section is for EXTENDED mode */
389
 
390
/* Tx data registers. Holding identifier (basic mode), tx frame information (extended mode) and data */
391
output  [7:0] tx_data_0;
392
output  [7:0] tx_data_1;
393
output  [7:0] tx_data_2;
394
output  [7:0] tx_data_3;
395
output  [7:0] tx_data_4;
396
output  [7:0] tx_data_5;
397
output  [7:0] tx_data_6;
398
output  [7:0] tx_data_7;
399
output  [7:0] tx_data_8;
400
output  [7:0] tx_data_9;
401
output  [7:0] tx_data_10;
402
output  [7:0] tx_data_11;
403
output  [7:0] tx_data_12;
404
/* End: Tx data registers */
405
 
406
 
407
reg           tx_successful_q;
408
reg           overrun_q;
409
reg           overrun_status;
410
reg           transmission_complete;
411
reg           transmit_buffer_status_q;
412
reg           receive_buffer_status;
413
reg           error_status_q;
414
reg           node_bus_off_q;
415
reg           node_error_passive_q;
416
reg           transmit_buffer_status;
417
reg           single_shot_transmission;
418 104 tadejm
reg           self_rx_request;
419 66 mohor
 
420
// Some interrupts exist in basic mode and in extended mode. Since they are in different registers they need to be multiplexed.
421
wire          data_overrun_irq_en;
422
wire          error_warning_irq_en;
423
wire          transmit_irq_en;
424
wire          receive_irq_en;
425
 
426
wire    [7:0] irq_reg;
427
 
428
wire we_mode                  = cs & we & (addr == 8'd0);
429
wire we_command               = cs & we & (addr == 8'd1);
430
wire we_bus_timing_0          = cs & we & (addr == 8'd6) & reset_mode;
431
wire we_bus_timing_1          = cs & we & (addr == 8'd7) & reset_mode;
432
wire we_clock_divider_low     = cs & we & (addr == 8'd31);
433
wire we_clock_divider_hi      = we_clock_divider_low & reset_mode;
434
 
435
wire read = cs & (~we);
436
wire read_irq_reg = read & (addr == 8'd3);
437
assign read_arbitration_lost_capture_reg = read & extended_mode & (addr == 8'd11);
438
assign read_error_code_capture_reg = read & extended_mode & (addr == 8'd12);
439
 
440
/* This section is for BASIC and EXTENDED mode */
441
wire we_acceptance_code_0       = cs & we &   reset_mode  & ((~extended_mode) & (addr == 8'd4)  | extended_mode & (addr == 8'd16));
442
wire we_acceptance_mask_0       = cs & we &   reset_mode  & ((~extended_mode) & (addr == 8'd5)  | extended_mode & (addr == 8'd20));
443
wire we_tx_data_0               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd10) | extended_mode & (addr == 8'd16)) & transmit_buffer_status;
444
wire we_tx_data_1               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd11) | extended_mode & (addr == 8'd17)) & transmit_buffer_status;
445
wire we_tx_data_2               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd12) | extended_mode & (addr == 8'd18)) & transmit_buffer_status;
446
wire we_tx_data_3               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd13) | extended_mode & (addr == 8'd19)) & transmit_buffer_status;
447
wire we_tx_data_4               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd14) | extended_mode & (addr == 8'd20)) & transmit_buffer_status;
448
wire we_tx_data_5               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd15) | extended_mode & (addr == 8'd21)) & transmit_buffer_status;
449
wire we_tx_data_6               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd16) | extended_mode & (addr == 8'd22)) & transmit_buffer_status;
450
wire we_tx_data_7               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd17) | extended_mode & (addr == 8'd23)) & transmit_buffer_status;
451
wire we_tx_data_8               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd18) | extended_mode & (addr == 8'd24)) & transmit_buffer_status;
452
wire we_tx_data_9               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd19) | extended_mode & (addr == 8'd25)) & transmit_buffer_status;
453
wire we_tx_data_10              = cs & we & (~reset_mode) & (                                     extended_mode & (addr == 8'd26)) & transmit_buffer_status;
454
wire we_tx_data_11              = cs & we & (~reset_mode) & (                                     extended_mode & (addr == 8'd27)) & transmit_buffer_status;
455
wire we_tx_data_12              = cs & we & (~reset_mode) & (                                     extended_mode & (addr == 8'd28)) & transmit_buffer_status;
456
/* End: This section is for BASIC and EXTENDED mode */
457
 
458
 
459
/* This section is for EXTENDED mode */
460
wire   we_interrupt_enable      = cs & we & (addr == 8'd4)  & extended_mode;
461
wire   we_error_warning_limit   = cs & we & (addr == 8'd13) & reset_mode & extended_mode;
462
assign we_rx_err_cnt            = cs & we & (addr == 8'd14) & reset_mode & extended_mode;
463
assign we_tx_err_cnt            = cs & we & (addr == 8'd15) & reset_mode & extended_mode;
464
wire   we_acceptance_code_1     = cs & we & (addr == 8'd17) & reset_mode & extended_mode;
465
wire   we_acceptance_code_2     = cs & we & (addr == 8'd18) & reset_mode & extended_mode;
466
wire   we_acceptance_code_3     = cs & we & (addr == 8'd19) & reset_mode & extended_mode;
467
wire   we_acceptance_mask_1     = cs & we & (addr == 8'd21) & reset_mode & extended_mode;
468
wire   we_acceptance_mask_2     = cs & we & (addr == 8'd22) & reset_mode & extended_mode;
469
wire   we_acceptance_mask_3     = cs & we & (addr == 8'd23) & reset_mode & extended_mode;
470
/* End: This section is for EXTENDED mode */
471
 
472
 
473
 
474
always @ (posedge clk)
475
begin
476
  tx_successful_q           <=#Tp tx_successful;
477
  overrun_q                 <=#Tp overrun;
478
  transmit_buffer_status_q  <=#Tp transmit_buffer_status;
479
  error_status_q            <=#Tp error_status;
480
  node_bus_off_q            <=#Tp node_bus_off;
481
  node_error_passive_q      <=#Tp node_error_passive;
482
end
483
 
484
 
485
 
486
/* Mode register */
487
wire   [0:0] mode;
488
wire   [4:1] mode_basic;
489
wire   [3:1] mode_ext;
490
wire         receive_irq_en_basic;
491
wire         transmit_irq_en_basic;
492
wire         error_irq_en_basic;
493
wire         overrun_irq_en_basic;
494
 
495
can_register_asyn_syn #(1, 1'h1) MODE_REG0
496
( .data_in(data_in[0]),
497
  .data_out(mode[0]),
498
  .we(we_mode),
499
  .clk(clk),
500
  .rst(rst),
501
  .rst_sync(set_reset_mode)
502
);
503
 
504
can_register_asyn #(4, 0) MODE_REG_BASIC
505
( .data_in(data_in[4:1]),
506
  .data_out(mode_basic[4:1]),
507
  .we(we_mode),
508
  .clk(clk),
509
  .rst(rst)
510
);
511
 
512
can_register_asyn #(3, 0) MODE_REG_EXT
513
( .data_in(data_in[3:1]),
514
  .data_out(mode_ext[3:1]),
515
  .we(we_mode & reset_mode),
516
  .clk(clk),
517
  .rst(rst)
518
);
519
 
520
assign reset_mode             = mode[0];
521 69 mohor
assign listen_only_mode       = extended_mode & mode_ext[1];
522
assign self_test_mode         = extended_mode & mode_ext[2];
523
assign acceptance_filter_mode = extended_mode & mode_ext[3];
524 66 mohor
 
525
assign receive_irq_en_basic  = mode_basic[1];
526
assign transmit_irq_en_basic = mode_basic[2];
527
assign error_irq_en_basic    = mode_basic[3];
528
assign overrun_irq_en_basic  = mode_basic[4];
529
/* End Mode register */
530
 
531
 
532
/* Command register */
533
wire   [4:0] command;
534
can_register_asyn_syn #(1, 1'h0) COMMAND_REG0
535
( .data_in(data_in[0]),
536
  .data_out(command[0]),
537
  .we(we_command),
538
  .clk(clk),
539
  .rst(rst),
540 104 tadejm
  .rst_sync(command[0] & sample_point)
541 66 mohor
);
542
 
543
can_register_asyn_syn #(1, 1'h0) COMMAND_REG1
544
( .data_in(data_in[1]),
545
  .data_out(command[1]),
546
  .we(we_command),
547
  .clk(clk),
548
  .rst(rst),
549 104 tadejm
  .rst_sync(sample_point & (tx_request | (abort_tx & ~transmitting)))
550 66 mohor
);
551
 
552
can_register_asyn_syn #(2, 2'h0) COMMAND_REG
553
( .data_in(data_in[3:2]),
554
  .data_out(command[3:2]),
555
  .we(we_command),
556
  .clk(clk),
557
  .rst(rst),
558
  .rst_sync(|command[3:2])
559
);
560
 
561
can_register_asyn_syn #(1, 1'h0) COMMAND_REG4
562
( .data_in(data_in[4]),
563
  .data_out(command[4]),
564
  .we(we_command),
565
  .clk(clk),
566
  .rst(rst),
567 104 tadejm
  .rst_sync(command[4] & sample_point)
568 66 mohor
);
569
 
570 104 tadejm
 
571
always @ (posedge clk or posedge rst)
572
begin
573
  if (rst)
574
    self_rx_request <= 1'b0;
575
  else if (command[4] & (~command[0]))
576
    self_rx_request <=#Tp 1'b1;
577
  else if ((~tx_state) & tx_state_q)
578
    self_rx_request <=#Tp 1'b0;
579
end
580
 
581
 
582 66 mohor
assign clear_data_overrun = command[3];
583
assign release_buffer = command[2];
584
assign tx_request = command[0] | command[4];
585 104 tadejm
assign abort_tx = command[1] & (~tx_request);
586 66 mohor
 
587
 
588
always @ (posedge clk or posedge rst)
589
begin
590
  if (rst)
591
    single_shot_transmission <= 1'b0;
592 104 tadejm
  else if (tx_request & command[1] & sample_point)
593 66 mohor
    single_shot_transmission <=#Tp 1'b1;
594 104 tadejm
  else if ((~tx_state) & tx_state_q)
595 66 mohor
    single_shot_transmission <=#Tp 1'b0;
596
end
597
 
598
 
599 125 mohor
/*
600
can_register_asyn_syn #(1, 1'h0) COMMAND_REG_OVERLOAD  // Uncomment this to enable overload requests !!!
601
( .data_in(data_in[5]),
602
  .data_out(overload_request),
603
  .we(we_command),
604
  .clk(clk),
605
  .rst(rst),
606
  .rst_sync(overload_frame & ~overload_frame_q)
607
);
608 66 mohor
 
609 125 mohor
reg           overload_frame_q;
610
 
611
always @ (posedge clk or posedge rst)
612
begin
613
  if (rst)
614
    overload_frame_q <= 1'b0;
615
  else
616
    overload_frame_q <=#Tp overload_frame;
617
end
618
*/
619
assign overload_request = 0;  // Overload requests are not supported, yet !!!
620
 
621
 
622
 
623
 
624
 
625 66 mohor
/* End Command register */
626
 
627
 
628
/* Status register */
629
 
630
wire   [7:0] status;
631
 
632
assign status[7] = node_bus_off;
633
assign status[6] = error_status;
634
assign status[5] = transmit_status;
635
assign status[4] = receive_status;
636
assign status[3] = transmission_complete;
637
assign status[2] = transmit_buffer_status;
638
assign status[1] = overrun_status;
639
assign status[0] = receive_buffer_status;
640
 
641
 
642
 
643
always @ (posedge clk or posedge rst)
644
begin
645
  if (rst)
646
    transmission_complete <= 1'b1;
647
  else if (tx_successful & (~tx_successful_q) | abort_tx)
648
    transmission_complete <=#Tp 1'b1;
649
  else if (tx_request)
650
    transmission_complete <=#Tp 1'b0;
651
end
652
 
653
 
654
always @ (posedge clk or posedge rst)
655
begin
656
  if (rst)
657
    transmit_buffer_status <= 1'b1;
658
  else if (tx_request)
659
    transmit_buffer_status <=#Tp 1'b0;
660
  else if (~need_to_tx)
661
    transmit_buffer_status <=#Tp 1'b1;
662
end
663
 
664
 
665
always @ (posedge clk or posedge rst)
666
begin
667
  if (rst)
668
    overrun_status <= 1'b0;
669
  else if (overrun & (~overrun_q))
670
    overrun_status <=#Tp 1'b1;
671
  else if (clear_data_overrun)
672
    overrun_status <=#Tp 1'b0;
673
end
674
 
675
 
676
always @ (posedge clk or posedge rst)
677
begin
678
  if (rst)
679
    receive_buffer_status <= 1'b0;
680
  else if (release_buffer)
681
    receive_buffer_status <=#Tp 1'b0;
682
  else if (~info_empty)
683
    receive_buffer_status <=#Tp 1'b1;
684
end
685
 
686
/* End Status register */
687
 
688
 
689
/* Interrupt Enable register (extended mode) */
690
wire   [7:0] irq_en_ext;
691
wire         bus_error_irq_en;
692
wire         arbitration_lost_irq_en;
693
wire         error_passive_irq_en;
694
wire         data_overrun_irq_en_ext;
695
wire         error_warning_irq_en_ext;
696
wire         transmit_irq_en_ext;
697
wire         receive_irq_en_ext;
698
 
699
can_register #(8) IRQ_EN_REG
700
( .data_in(data_in),
701
  .data_out(irq_en_ext),
702
  .we(we_interrupt_enable),
703
  .clk(clk)
704
);
705
 
706
 
707
assign bus_error_irq_en             = irq_en_ext[7];
708
assign arbitration_lost_irq_en      = irq_en_ext[6];
709
assign error_passive_irq_en         = irq_en_ext[5];
710
assign data_overrun_irq_en_ext      = irq_en_ext[3];
711
assign error_warning_irq_en_ext     = irq_en_ext[2];
712
assign transmit_irq_en_ext          = irq_en_ext[1];
713
assign receive_irq_en_ext           = irq_en_ext[0];
714
/* End Bus Timing 0 register */
715
 
716
 
717
/* Bus Timing 0 register */
718
wire   [7:0] bus_timing_0;
719
can_register #(8) BUS_TIMING_0_REG
720
( .data_in(data_in),
721
  .data_out(bus_timing_0),
722
  .we(we_bus_timing_0),
723
  .clk(clk)
724
);
725
 
726
assign baud_r_presc = bus_timing_0[5:0];
727
assign sync_jump_width = bus_timing_0[7:6];
728
/* End Bus Timing 0 register */
729
 
730
 
731
/* Bus Timing 1 register */
732
wire   [7:0] bus_timing_1;
733
can_register #(8) BUS_TIMING_1_REG
734
( .data_in(data_in),
735
  .data_out(bus_timing_1),
736
  .we(we_bus_timing_1),
737
  .clk(clk)
738
);
739
 
740
assign time_segment1 = bus_timing_1[3:0];
741
assign time_segment2 = bus_timing_1[6:4];
742
assign triple_sampling = bus_timing_1[7];
743
/* End Bus Timing 1 register */
744
 
745
 
746
/* Error Warning Limit register */
747
can_register_asyn #(8, 96) ERROR_WARNING_REG
748
( .data_in(data_in),
749
  .data_out(error_warning_limit),
750
  .we(we_error_warning_limit),
751
  .clk(clk),
752
  .rst(rst)
753
);
754
/* End Error Warning Limit register */
755
 
756
 
757
 
758
/* Clock Divider register */
759
wire   [7:0] clock_divider;
760
wire         clock_off;
761
wire   [2:0] cd;
762
reg    [2:0] clkout_div;
763
reg    [2:0] clkout_cnt;
764
reg          clkout_tmp;
765
 
766 92 mohor
can_register_asyn #(1, 0) CLOCK_DIVIDER_REG_7
767 66 mohor
( .data_in(data_in[7]),
768
  .data_out(clock_divider[7]),
769
  .we(we_clock_divider_hi),
770 92 mohor
  .clk(clk),
771
  .rst(rst)
772 66 mohor
);
773
 
774
assign clock_divider[6:4] = 3'h0;
775
 
776 92 mohor
can_register_asyn #(1, 0) CLOCK_DIVIDER_REG_3
777 66 mohor
( .data_in(data_in[3]),
778
  .data_out(clock_divider[3]),
779
  .we(we_clock_divider_hi),
780 92 mohor
  .clk(clk),
781
  .rst(rst)
782 66 mohor
);
783
 
784 92 mohor
can_register_asyn #(3, 0) CLOCK_DIVIDER_REG_LOW
785 66 mohor
( .data_in(data_in[2:0]),
786
  .data_out(clock_divider[2:0]),
787
  .we(we_clock_divider_low),
788 92 mohor
  .clk(clk),
789
  .rst(rst)
790 66 mohor
);
791
 
792
assign extended_mode = clock_divider[7];
793
assign clock_off     = clock_divider[3];
794
assign cd[2:0]       = clock_divider[2:0];
795
 
796
 
797
 
798
always @ (cd)
799
begin
800 93 mohor
  case (cd)                       /* synthesis full_case parallel_case */
801 111 mohor
    3'b000 : clkout_div = 3'd0;
802
    3'b001 : clkout_div = 3'd1;
803
    3'b010 : clkout_div = 3'd2;
804
    3'b011 : clkout_div = 3'd3;
805
    3'b100 : clkout_div = 3'd4;
806
    3'b101 : clkout_div = 3'd5;
807
    3'b110 : clkout_div = 3'd6;
808
    3'b111 : clkout_div = 3'd0;
809 66 mohor
  endcase
810
end
811
 
812
 
813
 
814
always @ (posedge clk or posedge rst)
815
begin
816
  if (rst)
817
    clkout_cnt <= 3'h0;
818
  else if (clkout_cnt == clkout_div)
819
    clkout_cnt <=#Tp 3'h0;
820
  else
821
    clkout_cnt <= clkout_cnt + 1'b1;
822
end
823
 
824
 
825
 
826
always @ (posedge clk or posedge rst)
827
begin
828
  if (rst)
829
    clkout_tmp <= 1'b0;
830
  else if (clkout_cnt == clkout_div)
831
    clkout_tmp <=#Tp ~clkout_tmp;
832
end
833
 
834
 
835
assign clkout = clock_off ? 1'b1 : ((&cd)? clk : clkout_tmp);
836
 
837
 
838
 
839
/* End Clock Divider register */
840
 
841
 
842
 
843
 
844
/* This section is for BASIC and EXTENDED mode */
845
 
846
/* Acceptance code register */
847
can_register #(8) ACCEPTANCE_CODE_REG0
848
( .data_in(data_in),
849
  .data_out(acceptance_code_0),
850
  .we(we_acceptance_code_0),
851
  .clk(clk)
852
);
853
/* End: Acceptance code register */
854
 
855
 
856
/* Acceptance mask register */
857
can_register #(8) ACCEPTANCE_MASK_REG0
858
( .data_in(data_in),
859
  .data_out(acceptance_mask_0),
860
  .we(we_acceptance_mask_0),
861
  .clk(clk)
862
);
863
/* End: Acceptance mask register */
864
/* End: This section is for BASIC and EXTENDED mode */
865
 
866
 
867
/* Tx data 0 register. */
868
can_register #(8) TX_DATA_REG0
869
( .data_in(data_in),
870
  .data_out(tx_data_0),
871
  .we(we_tx_data_0),
872
  .clk(clk)
873
);
874
/* End: Tx data 0 register. */
875
 
876
 
877
/* Tx data 1 register. */
878
can_register #(8) TX_DATA_REG1
879
( .data_in(data_in),
880
  .data_out(tx_data_1),
881
  .we(we_tx_data_1),
882
  .clk(clk)
883
);
884
/* End: Tx data 1 register. */
885
 
886
 
887
/* Tx data 2 register. */
888
can_register #(8) TX_DATA_REG2
889
( .data_in(data_in),
890
  .data_out(tx_data_2),
891
  .we(we_tx_data_2),
892
  .clk(clk)
893
);
894
/* End: Tx data 2 register. */
895
 
896
 
897
/* Tx data 3 register. */
898
can_register #(8) TX_DATA_REG3
899
( .data_in(data_in),
900
  .data_out(tx_data_3),
901
  .we(we_tx_data_3),
902
  .clk(clk)
903
);
904
/* End: Tx data 3 register. */
905
 
906
 
907
/* Tx data 4 register. */
908
can_register #(8) TX_DATA_REG4
909
( .data_in(data_in),
910
  .data_out(tx_data_4),
911
  .we(we_tx_data_4),
912
  .clk(clk)
913
);
914
/* End: Tx data 4 register. */
915
 
916
 
917
/* Tx data 5 register. */
918
can_register #(8) TX_DATA_REG5
919
( .data_in(data_in),
920
  .data_out(tx_data_5),
921
  .we(we_tx_data_5),
922
  .clk(clk)
923
);
924
/* End: Tx data 5 register. */
925
 
926
 
927
/* Tx data 6 register. */
928
can_register #(8) TX_DATA_REG6
929
( .data_in(data_in),
930
  .data_out(tx_data_6),
931
  .we(we_tx_data_6),
932
  .clk(clk)
933
);
934
/* End: Tx data 6 register. */
935
 
936
 
937
/* Tx data 7 register. */
938
can_register #(8) TX_DATA_REG7
939
( .data_in(data_in),
940
  .data_out(tx_data_7),
941
  .we(we_tx_data_7),
942
  .clk(clk)
943
);
944
/* End: Tx data 7 register. */
945
 
946
 
947
/* Tx data 8 register. */
948
can_register #(8) TX_DATA_REG8
949
( .data_in(data_in),
950
  .data_out(tx_data_8),
951
  .we(we_tx_data_8),
952
  .clk(clk)
953
);
954
/* End: Tx data 8 register. */
955
 
956
 
957
/* Tx data 9 register. */
958
can_register #(8) TX_DATA_REG9
959
( .data_in(data_in),
960
  .data_out(tx_data_9),
961
  .we(we_tx_data_9),
962
  .clk(clk)
963
);
964
/* End: Tx data 9 register. */
965
 
966
 
967
/* Tx data 10 register. */
968
can_register #(8) TX_DATA_REG10
969
( .data_in(data_in),
970
  .data_out(tx_data_10),
971
  .we(we_tx_data_10),
972
  .clk(clk)
973
);
974
/* End: Tx data 10 register. */
975
 
976
 
977
/* Tx data 11 register. */
978
can_register #(8) TX_DATA_REG11
979
( .data_in(data_in),
980
  .data_out(tx_data_11),
981
  .we(we_tx_data_11),
982
  .clk(clk)
983
);
984
/* End: Tx data 11 register. */
985
 
986
 
987
/* Tx data 12 register. */
988
can_register #(8) TX_DATA_REG12
989
( .data_in(data_in),
990
  .data_out(tx_data_12),
991
  .we(we_tx_data_12),
992
  .clk(clk)
993
);
994
/* End: Tx data 12 register. */
995
 
996
 
997
 
998
 
999
 
1000
/* This section is for EXTENDED mode */
1001
 
1002
/* Acceptance code register 1 */
1003
can_register #(8) ACCEPTANCE_CODE_REG1
1004
( .data_in(data_in),
1005
  .data_out(acceptance_code_1),
1006
  .we(we_acceptance_code_1),
1007
  .clk(clk)
1008
);
1009
/* End: Acceptance code register */
1010
 
1011
 
1012
/* Acceptance code register 2 */
1013
can_register #(8) ACCEPTANCE_CODE_REG2
1014
( .data_in(data_in),
1015
  .data_out(acceptance_code_2),
1016
  .we(we_acceptance_code_2),
1017
  .clk(clk)
1018
);
1019
/* End: Acceptance code register */
1020
 
1021
 
1022
/* Acceptance code register 3 */
1023
can_register #(8) ACCEPTANCE_CODE_REG3
1024
( .data_in(data_in),
1025
  .data_out(acceptance_code_3),
1026
  .we(we_acceptance_code_3),
1027
  .clk(clk)
1028
);
1029
/* End: Acceptance code register */
1030
 
1031
 
1032
/* Acceptance mask register 1 */
1033
can_register #(8) ACCEPTANCE_MASK_REG1
1034
( .data_in(data_in),
1035
  .data_out(acceptance_mask_1),
1036
  .we(we_acceptance_mask_1),
1037
  .clk(clk)
1038
);
1039
/* End: Acceptance code register */
1040
 
1041
 
1042
/* Acceptance mask register 2 */
1043
can_register #(8) ACCEPTANCE_MASK_REG2
1044
( .data_in(data_in),
1045
  .data_out(acceptance_mask_2),
1046
  .we(we_acceptance_mask_2),
1047
  .clk(clk)
1048
);
1049
/* End: Acceptance code register */
1050
 
1051
 
1052
/* Acceptance mask register 3 */
1053
can_register #(8) ACCEPTANCE_MASK_REG3
1054
( .data_in(data_in),
1055
  .data_out(acceptance_mask_3),
1056
  .we(we_acceptance_mask_3),
1057
  .clk(clk)
1058
);
1059
/* End: Acceptance code register */
1060
 
1061
 
1062
/* End: This section is for EXTENDED mode */
1063
 
1064
 
1065
 
1066
 
1067
// Reading data from registers
1068 111 mohor
always @ ( addr or extended_mode or mode or bus_timing_0 or bus_timing_1 or clock_divider or
1069 66 mohor
           acceptance_code_0 or acceptance_code_1 or acceptance_code_2 or acceptance_code_3 or
1070
           acceptance_mask_0 or acceptance_mask_1 or acceptance_mask_2 or acceptance_mask_3 or
1071
           reset_mode or tx_data_0 or tx_data_1 or tx_data_2 or tx_data_3 or tx_data_4 or
1072
           tx_data_5 or tx_data_6 or tx_data_7 or tx_data_8 or tx_data_9 or status or
1073
           error_warning_limit or rx_err_cnt or tx_err_cnt or irq_en_ext or irq_reg or mode_ext or
1074
           arbitration_lost_capture or rx_message_counter or mode_basic or error_capture_code
1075
         )
1076
begin
1077 111 mohor
  case({extended_mode, addr[4:0]})  /* synthesis parallel_case */
1078
    {1'h1, 5'd00} :  data_out = {4'b0000, mode_ext[3:1], mode[0]};      // extended mode
1079
    {1'h1, 5'd01} :  data_out = 8'h0;                                   // extended mode
1080
    {1'h1, 5'd02} :  data_out = status;                                 // extended mode
1081
    {1'h1, 5'd03} :  data_out = irq_reg;                                // extended mode
1082
    {1'h1, 5'd04} :  data_out = irq_en_ext;                             // extended mode
1083
    {1'h1, 5'd06} :  data_out = bus_timing_0;                           // extended mode
1084
    {1'h1, 5'd07} :  data_out = bus_timing_1;                           // extended mode
1085
    {1'h1, 5'd11} :  data_out = {3'h0, arbitration_lost_capture[4:0]};  // extended mode
1086
    {1'h1, 5'd12} :  data_out = error_capture_code;                     // extended mode
1087
    {1'h1, 5'd13} :  data_out = error_warning_limit;                    // extended mode
1088
    {1'h1, 5'd14} :  data_out = rx_err_cnt;                             // extended mode
1089
    {1'h1, 5'd15} :  data_out = tx_err_cnt;                             // extended mode
1090
    {1'h1, 5'd16} :  data_out = acceptance_code_0;                      // extended mode
1091
    {1'h1, 5'd17} :  data_out = acceptance_code_1;                      // extended mode
1092
    {1'h1, 5'd18} :  data_out = acceptance_code_2;                      // extended mode
1093
    {1'h1, 5'd19} :  data_out = acceptance_code_3;                      // extended mode
1094
    {1'h1, 5'd20} :  data_out = acceptance_mask_0;                      // extended mode
1095
    {1'h1, 5'd21} :  data_out = acceptance_mask_1;                      // extended mode
1096
    {1'h1, 5'd22} :  data_out = acceptance_mask_2;                      // extended mode
1097
    {1'h1, 5'd23} :  data_out = acceptance_mask_3;                      // extended mode
1098
    {1'h1, 5'd24} :  data_out = 8'h0;                                   // extended mode
1099
    {1'h1, 5'd25} :  data_out = 8'h0;                                   // extended mode
1100
    {1'h1, 5'd26} :  data_out = 8'h0;                                   // extended mode
1101
    {1'h1, 5'd27} :  data_out = 8'h0;                                   // extended mode
1102
    {1'h1, 5'd28} :  data_out = 8'h0;                                   // extended mode
1103
    {1'h1, 5'd29} :  data_out = {1'b0, rx_message_counter};             // extended mode
1104
    {1'h1, 5'd31} :  data_out = clock_divider;                          // extended mode
1105
    {1'h0, 5'd00} :  data_out = {3'b001, mode_basic[4:1], mode[0]};     // basic mode
1106
    {1'h0, 5'd01} :  data_out = 8'hff;                                  // basic mode
1107
    {1'h0, 5'd02} :  data_out = status;                                 // basic mode
1108
    {1'h0, 5'd03} :  data_out = {4'hf, irq_reg[3:0]};                   // basic mode
1109
    {1'h0, 5'd04} :  data_out = reset_mode? acceptance_code_0 : 8'hff;  // basic mode
1110
    {1'h0, 5'd05} :  data_out = reset_mode? acceptance_mask_0 : 8'hff;  // basic mode
1111
    {1'h0, 5'd06} :  data_out = reset_mode? bus_timing_0 : 8'hff;       // basic mode
1112
    {1'h0, 5'd07} :  data_out = reset_mode? bus_timing_1 : 8'hff;       // basic mode
1113
    {1'h0, 5'd10} :  data_out = reset_mode? 8'hff : tx_data_0;          // basic mode
1114
    {1'h0, 5'd11} :  data_out = reset_mode? 8'hff : tx_data_1;          // basic mode
1115
    {1'h0, 5'd12} :  data_out = reset_mode? 8'hff : tx_data_2;          // basic mode
1116
    {1'h0, 5'd13} :  data_out = reset_mode? 8'hff : tx_data_3;          // basic mode
1117
    {1'h0, 5'd14} :  data_out = reset_mode? 8'hff : tx_data_4;          // basic mode
1118
    {1'h0, 5'd15} :  data_out = reset_mode? 8'hff : tx_data_5;          // basic mode
1119
    {1'h0, 5'd16} :  data_out = reset_mode? 8'hff : tx_data_6;          // basic mode
1120
    {1'h0, 5'd17} :  data_out = reset_mode? 8'hff : tx_data_7;          // basic mode
1121
    {1'h0, 5'd18} :  data_out = reset_mode? 8'hff : tx_data_8;          // basic mode
1122
    {1'h0, 5'd19} :  data_out = reset_mode? 8'hff : tx_data_9;          // basic mode
1123
    {1'h0, 5'd31} :  data_out = clock_divider;                          // basic mode
1124
    default :  data_out = 8'h0;                                   // the rest is read as 0
1125
  endcase
1126 66 mohor
end
1127
 
1128
 
1129
// Some interrupts exist in basic mode and in extended mode. Since they are in different registers they need to be multiplexed.
1130
assign data_overrun_irq_en  = extended_mode ? data_overrun_irq_en_ext  : overrun_irq_en_basic;
1131
assign error_warning_irq_en = extended_mode ? error_warning_irq_en_ext : error_irq_en_basic;
1132
assign transmit_irq_en      = extended_mode ? transmit_irq_en_ext      : transmit_irq_en_basic;
1133
assign receive_irq_en       = extended_mode ? receive_irq_en_ext       : receive_irq_en_basic;
1134
 
1135
 
1136
reg data_overrun_irq;
1137
always @ (posedge clk or posedge rst)
1138
begin
1139
  if (rst)
1140
    data_overrun_irq <= 1'b0;
1141
  else if (overrun & (~overrun_q) & data_overrun_irq_en)
1142
    data_overrun_irq <=#Tp 1'b1;
1143
  else if (read_irq_reg)
1144
    data_overrun_irq <=#Tp 1'b0;
1145
end
1146
 
1147
 
1148
reg transmit_irq;
1149
always @ (posedge clk or posedge rst)
1150
begin
1151
  if (rst)
1152
    transmit_irq <= 1'b0;
1153
  else if (transmit_buffer_status & (~transmit_buffer_status_q) & transmit_irq_en)
1154
    transmit_irq <=#Tp 1'b1;
1155
  else if (read_irq_reg)
1156
    transmit_irq <=#Tp 1'b0;
1157
end
1158
 
1159
 
1160
reg receive_irq;
1161
always @ (posedge clk or posedge rst)
1162
begin
1163
  if (rst)
1164
    receive_irq <= 1'b0;
1165
  else if (release_buffer)
1166
    receive_irq <=#Tp 1'b0;
1167
  else if ((~info_empty) & (~receive_irq) & receive_irq_en)
1168
    receive_irq <=#Tp 1'b1;
1169
end
1170
 
1171
 
1172
reg error_irq;
1173
always @ (posedge clk or posedge rst)
1174
begin
1175
  if (rst)
1176
    error_irq <= 1'b0;
1177
  else if (((error_status ^ error_status_q) | (node_bus_off ^ node_bus_off_q)) & error_warning_irq_en)
1178
    error_irq <=#Tp 1'b1;
1179
  else if (read_irq_reg)
1180
    error_irq <=#Tp 1'b0;
1181
end
1182
 
1183
 
1184
reg bus_error_irq;
1185
always @ (posedge clk or posedge rst)
1186
begin
1187
  if (rst)
1188
    bus_error_irq <= 1'b0;
1189
  else if (set_bus_error_irq & bus_error_irq_en)
1190
    bus_error_irq <=#Tp 1'b1;
1191
  else if (read_irq_reg)
1192
    bus_error_irq <=#Tp 1'b0;
1193
end
1194
 
1195
 
1196
reg arbitration_lost_irq;
1197
always @ (posedge clk or posedge rst)
1198
begin
1199
  if (rst)
1200
    arbitration_lost_irq <= 1'b0;
1201
  else if (set_arbitration_lost_irq & arbitration_lost_irq_en)
1202
    arbitration_lost_irq <=#Tp 1'b1;
1203
  else if (read_irq_reg)
1204
    arbitration_lost_irq <=#Tp 1'b0;
1205
end
1206
 
1207
 
1208
 
1209
reg error_passive_irq;
1210
always @ (posedge clk or posedge rst)
1211
begin
1212
  if (rst)
1213
    error_passive_irq <= 1'b0;
1214
  else if ((node_error_passive & (~node_error_passive_q) | (~node_error_passive) & node_error_passive_q & node_error_active) & error_passive_irq_en)
1215
    error_passive_irq <=#Tp 1'b1;
1216
  else if (read_irq_reg)
1217
    error_passive_irq <=#Tp 1'b0;
1218
end
1219
 
1220
 
1221
 
1222
assign irq_reg = {bus_error_irq, arbitration_lost_irq, error_passive_irq, 1'b0, data_overrun_irq, error_irq, transmit_irq, receive_irq};
1223
 
1224
assign irq = data_overrun_irq | transmit_irq | receive_irq | error_irq | bus_error_irq | arbitration_lost_irq | error_passive_irq;
1225
 
1226
 
1227
 
1228
 
1229
 
1230
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.