OpenCores
URL https://opencores.org/ocsvn/can/can/trunk

Subversion Repositories can

[/] [can/] [tags/] [rel_22/] [rtl/] [verilog/] [can_registers.v] - Blame information for rev 66

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 66 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  can_registers.v                                             ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the CAN Protocol Controller            ////
7
////  http://www.opencores.org/projects/can/                      ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15
////  All additional information is available in the README.txt   ////
16
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2002, 2003 Authors                             ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//// The CAN protocol is developed by Robert Bosch GmbH and       ////
44
//// protected by patents. Anybody who wants to implement this    ////
45
//// CAN IP core on silicon has to obtain a CAN protocol license  ////
46
//// from Bosch.                                                  ////
47
////                                                              ////
48
//////////////////////////////////////////////////////////////////////
49
//
50
// CVS Revision History
51
//
52
// $Log: not supported by cvs2svn $
53
// Revision 1.20  2003/03/11 16:31:05  mohor
54
// Mux used for clkout to avoid "gated clocks warning".
55
//
56
// Revision 1.19  2003/03/10 17:34:25  mohor
57
// Doubled declarations removed.
58
//
59
// Revision 1.18  2003/03/01 22:52:11  mohor
60
// Data is latched on read.
61
//
62
// Revision 1.17  2003/02/19 15:09:02  mohor
63
// Incomplete sensitivity list fixed.
64
//
65
// Revision 1.16  2003/02/19 14:44:03  mohor
66
// CAN core finished. Host interface added. Registers finished.
67
// Synchronization to the wishbone finished.
68
//
69
// Revision 1.15  2003/02/18 00:10:15  mohor
70
// Most of the registers added. Registers "arbitration lost capture", "error code
71
// capture" + few more still need to be added.
72
//
73
// Revision 1.14  2003/02/14 20:17:01  mohor
74
// Several registers added. Not finished, yet.
75
//
76
// Revision 1.13  2003/02/12 14:25:30  mohor
77
// abort_tx added.
78
//
79
// Revision 1.12  2003/02/11 00:56:06  mohor
80
// Wishbone interface added.
81
//
82
// Revision 1.11  2003/02/09 02:24:33  mohor
83
// Bosch license warning added. Error counters finished. Overload frames
84
// still need to be fixed.
85
//
86
// Revision 1.10  2003/01/31 01:13:38  mohor
87
// backup.
88
//
89
// Revision 1.9  2003/01/15 13:16:48  mohor
90
// When a frame with "remote request" is received, no data is stored
91
// to fifo, just the frame information (identifier, ...). Data length
92
// that is stored is the received data length and not the actual data
93
// length that is stored to fifo.
94
//
95
// Revision 1.8  2003/01/14 17:25:09  mohor
96
// Addresses corrected to decimal values (previously hex).
97
//
98
// Revision 1.7  2003/01/14 12:19:35  mohor
99
// rx_fifo is now working.
100
//
101
// Revision 1.6  2003/01/10 17:51:34  mohor
102
// Temporary version (backup).
103
//
104
// Revision 1.5  2003/01/09 14:46:58  mohor
105
// Temporary files (backup).
106
//
107
// Revision 1.4  2003/01/08 02:10:55  mohor
108
// Acceptance filter added.
109
//
110
// Revision 1.3  2002/12/27 00:12:52  mohor
111
// Header changed, testbench improved to send a frame (crc still missing).
112
//
113
// Revision 1.2  2002/12/26 16:00:34  mohor
114
// Testbench define file added. Clock divider register added.
115
//
116
// Revision 1.1.1.1  2002/12/20 16:39:21  mohor
117
// Initial
118
//
119
//
120
//
121
 
122
// synopsys translate_off
123
`include "timescale.v"
124
// synopsys translate_on
125
`include "can_defines.v"
126
 
127
module can_registers
128
(
129
  clk,
130
  rst,
131
  cs,
132
  we,
133
  addr,
134
  data_in,
135
  data_out,
136
  irq,
137
 
138
  sample_point,
139
  transmitting,
140
  set_reset_mode,
141
  node_bus_off,
142
  error_status,
143
  rx_err_cnt,
144
  tx_err_cnt,
145
  transmit_status,
146
  receive_status,
147
  tx_successful,
148
  need_to_tx,
149
  overrun,
150
  info_empty,
151
  set_bus_error_irq,
152
  set_arbitration_lost_irq,
153
  arbitration_lost_capture,
154
  node_error_passive,
155
  node_error_active,
156
  rx_message_counter,
157
 
158
 
159
  /* Mode register */
160
  reset_mode,
161
  listen_only_mode,
162
  acceptance_filter_mode,
163
  self_test_mode,
164
 
165
 
166
  /* Command register */
167
  clear_data_overrun,
168
  release_buffer,
169
  abort_tx,
170
  tx_request,
171
  self_rx_request,
172
  single_shot_transmission,
173
 
174
  /* Arbitration Lost Capture Register */
175
  read_arbitration_lost_capture_reg,
176
 
177
  /* Error Code Capture Register */
178
  read_error_code_capture_reg,
179
  error_capture_code,
180
 
181
  /* Bus Timing 0 register */
182
  baud_r_presc,
183
  sync_jump_width,
184
 
185
  /* Bus Timing 1 register */
186
  time_segment1,
187
  time_segment2,
188
  triple_sampling,
189
 
190
  /* Error Warning Limit register */
191
  error_warning_limit,
192
 
193
  /* Rx Error Counter register */
194
  we_rx_err_cnt,
195
 
196
  /* Tx Error Counter register */
197
  we_tx_err_cnt,
198
 
199
  /* Clock Divider register */
200
  extended_mode,
201
  clkout,
202
 
203
 
204
  /* This section is for BASIC and EXTENDED mode */
205
  /* Acceptance code register */
206
  acceptance_code_0,
207
 
208
  /* Acceptance mask register */
209
  acceptance_mask_0,
210
  /* End: This section is for BASIC and EXTENDED mode */
211
 
212
  /* This section is for EXTENDED mode */
213
  /* Acceptance code register */
214
  acceptance_code_1,
215
  acceptance_code_2,
216
  acceptance_code_3,
217
 
218
  /* Acceptance mask register */
219
  acceptance_mask_1,
220
  acceptance_mask_2,
221
  acceptance_mask_3,
222
  /* End: This section is for EXTENDED mode */
223
 
224
  /* Tx data registers. Holding identifier (basic mode), tx frame information (extended mode) and data */
225
  tx_data_0,
226
  tx_data_1,
227
  tx_data_2,
228
  tx_data_3,
229
  tx_data_4,
230
  tx_data_5,
231
  tx_data_6,
232
  tx_data_7,
233
  tx_data_8,
234
  tx_data_9,
235
  tx_data_10,
236
  tx_data_11,
237
  tx_data_12
238
  /* End: Tx data registers */
239
 
240
 
241
 
242
 
243
);
244
 
245
parameter Tp = 1;
246
 
247
input         clk;
248
input         rst;
249
input         cs;
250
input         we;
251
input   [7:0] addr;
252
input   [7:0] data_in;
253
 
254
output  [7:0] data_out;
255
reg     [7:0] data_out;
256
 
257
output        irq;
258
 
259
input         sample_point;
260
input         transmitting;
261
input         set_reset_mode;
262
input         node_bus_off;
263
input         error_status;
264
input   [7:0] rx_err_cnt;
265
input   [7:0] tx_err_cnt;
266
input         transmit_status;
267
input         receive_status;
268
input         tx_successful;
269
input         need_to_tx;
270
input         overrun;
271
input         info_empty;
272
input         set_bus_error_irq;
273
input         set_arbitration_lost_irq;
274
input   [4:0] arbitration_lost_capture;
275
input         node_error_passive;
276
input         node_error_active;
277
input   [6:0] rx_message_counter;
278
 
279
 
280
 
281
/* Mode register */
282
output        reset_mode;
283
output        listen_only_mode;
284
output        acceptance_filter_mode;
285
output        self_test_mode;
286
 
287
/* Command register */
288
output        clear_data_overrun;
289
output        release_buffer;
290
output        abort_tx;
291
output        tx_request;
292
output        self_rx_request;
293
output        single_shot_transmission;
294
 
295
/* Arbitration Lost Capture Register */
296
output        read_arbitration_lost_capture_reg;
297
 
298
/* Error Code Capture Register */
299
output        read_error_code_capture_reg;
300
input   [7:0] error_capture_code;
301
 
302
/* Bus Timing 0 register */
303
output  [5:0] baud_r_presc;
304
output  [1:0] sync_jump_width;
305
 
306
 
307
/* Bus Timing 1 register */
308
output  [3:0] time_segment1;
309
output  [2:0] time_segment2;
310
output        triple_sampling;
311
 
312
/* Error Warning Limit register */
313
output  [7:0] error_warning_limit;
314
 
315
/* Rx Error Counter register */
316
output        we_rx_err_cnt;
317
 
318
/* Tx Error Counter register */
319
output        we_tx_err_cnt;
320
 
321
/* Clock Divider register */
322
output        extended_mode;
323
output        clkout;
324
 
325
 
326
/* This section is for BASIC and EXTENDED mode */
327
/* Acceptance code register */
328
output  [7:0] acceptance_code_0;
329
 
330
/* Acceptance mask register */
331
output  [7:0] acceptance_mask_0;
332
 
333
/* End: This section is for BASIC and EXTENDED mode */
334
 
335
 
336
/* This section is for EXTENDED mode */
337
/* Acceptance code register */
338
output  [7:0] acceptance_code_1;
339
output  [7:0] acceptance_code_2;
340
output  [7:0] acceptance_code_3;
341
 
342
/* Acceptance mask register */
343
output  [7:0] acceptance_mask_1;
344
output  [7:0] acceptance_mask_2;
345
output  [7:0] acceptance_mask_3;
346
 
347
/* End: This section is for EXTENDED mode */
348
 
349
/* Tx data registers. Holding identifier (basic mode), tx frame information (extended mode) and data */
350
output  [7:0] tx_data_0;
351
output  [7:0] tx_data_1;
352
output  [7:0] tx_data_2;
353
output  [7:0] tx_data_3;
354
output  [7:0] tx_data_4;
355
output  [7:0] tx_data_5;
356
output  [7:0] tx_data_6;
357
output  [7:0] tx_data_7;
358
output  [7:0] tx_data_8;
359
output  [7:0] tx_data_9;
360
output  [7:0] tx_data_10;
361
output  [7:0] tx_data_11;
362
output  [7:0] tx_data_12;
363
/* End: Tx data registers */
364
 
365
 
366
reg           tx_successful_q;
367
reg           overrun_q;
368
reg           overrun_status;
369
reg           transmission_complete;
370
reg           transmit_buffer_status_q;
371
reg           receive_buffer_status;
372
reg           info_empty_q;
373
reg           error_status_q;
374
reg           node_bus_off_q;
375
reg           node_error_passive_q;
376
reg           transmit_buffer_status;
377
reg           single_shot_transmission;
378
 
379
reg     [7:0] data_out_tmp;
380
 
381
// Some interrupts exist in basic mode and in extended mode. Since they are in different registers they need to be multiplexed.
382
wire          data_overrun_irq_en;
383
wire          error_warning_irq_en;
384
wire          transmit_irq_en;
385
wire          receive_irq_en;
386
 
387
wire    [7:0] irq_reg;
388
 
389
wire we_mode                  = cs & we & (addr == 8'd0);
390
wire we_command               = cs & we & (addr == 8'd1);
391
wire we_bus_timing_0          = cs & we & (addr == 8'd6) & reset_mode;
392
wire we_bus_timing_1          = cs & we & (addr == 8'd7) & reset_mode;
393
wire we_clock_divider_low     = cs & we & (addr == 8'd31);
394
wire we_clock_divider_hi      = we_clock_divider_low & reset_mode;
395
 
396
wire read = cs & (~we);
397
wire read_irq_reg = read & (addr == 8'd3);
398
assign read_arbitration_lost_capture_reg = read & extended_mode & (addr == 8'd11);
399
assign read_error_code_capture_reg = read & extended_mode & (addr == 8'd12);
400
 
401
/* This section is for BASIC and EXTENDED mode */
402
wire we_acceptance_code_0       = cs & we &   reset_mode  & ((~extended_mode) & (addr == 8'd4)  | extended_mode & (addr == 8'd16));
403
wire we_acceptance_mask_0       = cs & we &   reset_mode  & ((~extended_mode) & (addr == 8'd5)  | extended_mode & (addr == 8'd20));
404
wire we_tx_data_0               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd10) | extended_mode & (addr == 8'd16)) & transmit_buffer_status;
405
wire we_tx_data_1               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd11) | extended_mode & (addr == 8'd17)) & transmit_buffer_status;
406
wire we_tx_data_2               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd12) | extended_mode & (addr == 8'd18)) & transmit_buffer_status;
407
wire we_tx_data_3               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd13) | extended_mode & (addr == 8'd19)) & transmit_buffer_status;
408
wire we_tx_data_4               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd14) | extended_mode & (addr == 8'd20)) & transmit_buffer_status;
409
wire we_tx_data_5               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd15) | extended_mode & (addr == 8'd21)) & transmit_buffer_status;
410
wire we_tx_data_6               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd16) | extended_mode & (addr == 8'd22)) & transmit_buffer_status;
411
wire we_tx_data_7               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd17) | extended_mode & (addr == 8'd23)) & transmit_buffer_status;
412
wire we_tx_data_8               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd18) | extended_mode & (addr == 8'd24)) & transmit_buffer_status;
413
wire we_tx_data_9               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd19) | extended_mode & (addr == 8'd25)) & transmit_buffer_status;
414
wire we_tx_data_10              = cs & we & (~reset_mode) & (                                     extended_mode & (addr == 8'd26)) & transmit_buffer_status;
415
wire we_tx_data_11              = cs & we & (~reset_mode) & (                                     extended_mode & (addr == 8'd27)) & transmit_buffer_status;
416
wire we_tx_data_12              = cs & we & (~reset_mode) & (                                     extended_mode & (addr == 8'd28)) & transmit_buffer_status;
417
/* End: This section is for BASIC and EXTENDED mode */
418
 
419
 
420
/* This section is for EXTENDED mode */
421
wire   we_interrupt_enable      = cs & we & (addr == 8'd4)  & extended_mode;
422
wire   we_error_warning_limit   = cs & we & (addr == 8'd13) & reset_mode & extended_mode;
423
assign we_rx_err_cnt            = cs & we & (addr == 8'd14) & reset_mode & extended_mode;
424
assign we_tx_err_cnt            = cs & we & (addr == 8'd15) & reset_mode & extended_mode;
425
wire   we_acceptance_code_1     = cs & we & (addr == 8'd17) & reset_mode & extended_mode;
426
wire   we_acceptance_code_2     = cs & we & (addr == 8'd18) & reset_mode & extended_mode;
427
wire   we_acceptance_code_3     = cs & we & (addr == 8'd19) & reset_mode & extended_mode;
428
wire   we_acceptance_mask_1     = cs & we & (addr == 8'd21) & reset_mode & extended_mode;
429
wire   we_acceptance_mask_2     = cs & we & (addr == 8'd22) & reset_mode & extended_mode;
430
wire   we_acceptance_mask_3     = cs & we & (addr == 8'd23) & reset_mode & extended_mode;
431
/* End: This section is for EXTENDED mode */
432
 
433
 
434
 
435
always @ (posedge clk)
436
begin
437
  tx_successful_q           <=#Tp tx_successful;
438
  overrun_q                 <=#Tp overrun;
439
  transmit_buffer_status_q  <=#Tp transmit_buffer_status;
440
  info_empty_q              <=#Tp info_empty;
441
  error_status_q            <=#Tp error_status;
442
  node_bus_off_q            <=#Tp node_bus_off;
443
  node_error_passive_q      <=#Tp node_error_passive;
444
end
445
 
446
 
447
 
448
/* Mode register */
449
wire   [0:0] mode;
450
wire   [4:1] mode_basic;
451
wire   [3:1] mode_ext;
452
wire         receive_irq_en_basic;
453
wire         transmit_irq_en_basic;
454
wire         error_irq_en_basic;
455
wire         overrun_irq_en_basic;
456
 
457
can_register_asyn_syn #(1, 1'h1) MODE_REG0
458
( .data_in(data_in[0]),
459
  .data_out(mode[0]),
460
  .we(we_mode),
461
  .clk(clk),
462
  .rst(rst),
463
  .rst_sync(set_reset_mode)
464
);
465
 
466
can_register_asyn #(4, 0) MODE_REG_BASIC
467
( .data_in(data_in[4:1]),
468
  .data_out(mode_basic[4:1]),
469
  .we(we_mode),
470
  .clk(clk),
471
  .rst(rst)
472
);
473
 
474
can_register_asyn #(3, 0) MODE_REG_EXT
475
( .data_in(data_in[3:1]),
476
  .data_out(mode_ext[3:1]),
477
  .we(we_mode & reset_mode),
478
  .clk(clk),
479
  .rst(rst)
480
);
481
 
482
assign reset_mode             = mode[0];
483
assign listen_only_mode       = mode_ext[1];
484
assign self_test_mode         = mode_ext[2];
485
assign acceptance_filter_mode = mode_ext[3];
486
 
487
assign receive_irq_en_basic  = mode_basic[1];
488
assign transmit_irq_en_basic = mode_basic[2];
489
assign error_irq_en_basic    = mode_basic[3];
490
assign overrun_irq_en_basic  = mode_basic[4];
491
/* End Mode register */
492
 
493
 
494
/* Command register */
495
wire   [4:0] command;
496
can_register_asyn_syn #(1, 1'h0) COMMAND_REG0
497
( .data_in(data_in[0]),
498
  .data_out(command[0]),
499
  .we(we_command),
500
  .clk(clk),
501
  .rst(rst),
502
  .rst_sync(tx_request & sample_point)
503
);
504
 
505
can_register_asyn_syn #(1, 1'h0) COMMAND_REG1
506
( .data_in(data_in[1]),
507
  .data_out(command[1]),
508
  .we(we_command),
509
  .clk(clk),
510
  .rst(rst),
511
  .rst_sync(abort_tx & ~transmitting)
512
);
513
 
514
can_register_asyn_syn #(2, 2'h0) COMMAND_REG
515
( .data_in(data_in[3:2]),
516
  .data_out(command[3:2]),
517
  .we(we_command),
518
  .clk(clk),
519
  .rst(rst),
520
  .rst_sync(|command[3:2])
521
);
522
 
523
can_register_asyn_syn #(1, 1'h0) COMMAND_REG4
524
( .data_in(data_in[4]),
525
  .data_out(command[4]),
526
  .we(we_command),
527
  .clk(clk),
528
  .rst(rst),
529
  .rst_sync(tx_successful & (~tx_successful_q) | abort_tx)
530
);
531
 
532
assign self_rx_request = command[4] & (~command[0]);
533
assign clear_data_overrun = command[3];
534
assign release_buffer = command[2];
535
assign abort_tx = command[1] & (~command[0]) & (~command[4]);
536
assign tx_request = command[0] | command[4];
537
 
538
 
539
always @ (posedge clk or posedge rst)
540
begin
541
  if (rst)
542
    single_shot_transmission <= 1'b0;
543
  else if (we_command & data_in[1] & (data_in[1] | data_in[4]))
544
    single_shot_transmission <=#Tp 1'b1;
545
  else if (tx_successful & (~tx_successful_q))
546
    single_shot_transmission <=#Tp 1'b0;
547
end
548
 
549
 
550
 
551
/* End Command register */
552
 
553
 
554
/* Status register */
555
 
556
wire   [7:0] status;
557
 
558
assign status[7] = node_bus_off;
559
assign status[6] = error_status;
560
assign status[5] = transmit_status;
561
assign status[4] = receive_status;
562
assign status[3] = transmission_complete;
563
assign status[2] = transmit_buffer_status;
564
assign status[1] = overrun_status;
565
assign status[0] = receive_buffer_status;
566
 
567
 
568
 
569
always @ (posedge clk or posedge rst)
570
begin
571
  if (rst)
572
    transmission_complete <= 1'b1;
573
  else if (tx_successful & (~tx_successful_q) | abort_tx)
574
    transmission_complete <=#Tp 1'b1;
575
  else if (tx_request)
576
    transmission_complete <=#Tp 1'b0;
577
end
578
 
579
 
580
always @ (posedge clk or posedge rst)
581
begin
582
  if (rst)
583
    transmit_buffer_status <= 1'b1;
584
  else if (tx_request)
585
    transmit_buffer_status <=#Tp 1'b0;
586
  else if (~need_to_tx)
587
    transmit_buffer_status <=#Tp 1'b1;
588
end
589
 
590
 
591
always @ (posedge clk or posedge rst)
592
begin
593
  if (rst)
594
    overrun_status <= 1'b0;
595
  else if (overrun & (~overrun_q))
596
    overrun_status <=#Tp 1'b1;
597
  else if (clear_data_overrun)
598
    overrun_status <=#Tp 1'b0;
599
end
600
 
601
 
602
always @ (posedge clk or posedge rst)
603
begin
604
  if (rst)
605
    receive_buffer_status <= 1'b0;
606
  else if (release_buffer)
607
    receive_buffer_status <=#Tp 1'b0;
608
  else if (~info_empty)
609
    receive_buffer_status <=#Tp 1'b1;
610
end
611
 
612
/* End Status register */
613
 
614
 
615
/* Interrupt Enable register (extended mode) */
616
wire   [7:0] irq_en_ext;
617
wire         bus_error_irq_en;
618
wire         arbitration_lost_irq_en;
619
wire         error_passive_irq_en;
620
wire         data_overrun_irq_en_ext;
621
wire         error_warning_irq_en_ext;
622
wire         transmit_irq_en_ext;
623
wire         receive_irq_en_ext;
624
 
625
can_register #(8) IRQ_EN_REG
626
( .data_in(data_in),
627
  .data_out(irq_en_ext),
628
  .we(we_interrupt_enable),
629
  .clk(clk)
630
);
631
 
632
 
633
assign bus_error_irq_en             = irq_en_ext[7];
634
assign arbitration_lost_irq_en      = irq_en_ext[6];
635
assign error_passive_irq_en         = irq_en_ext[5];
636
assign data_overrun_irq_en_ext      = irq_en_ext[3];
637
assign error_warning_irq_en_ext     = irq_en_ext[2];
638
assign transmit_irq_en_ext          = irq_en_ext[1];
639
assign receive_irq_en_ext           = irq_en_ext[0];
640
/* End Bus Timing 0 register */
641
 
642
 
643
/* Bus Timing 0 register */
644
wire   [7:0] bus_timing_0;
645
can_register #(8) BUS_TIMING_0_REG
646
( .data_in(data_in),
647
  .data_out(bus_timing_0),
648
  .we(we_bus_timing_0),
649
  .clk(clk)
650
);
651
 
652
assign baud_r_presc = bus_timing_0[5:0];
653
assign sync_jump_width = bus_timing_0[7:6];
654
/* End Bus Timing 0 register */
655
 
656
 
657
/* Bus Timing 1 register */
658
wire   [7:0] bus_timing_1;
659
can_register #(8) BUS_TIMING_1_REG
660
( .data_in(data_in),
661
  .data_out(bus_timing_1),
662
  .we(we_bus_timing_1),
663
  .clk(clk)
664
);
665
 
666
assign time_segment1 = bus_timing_1[3:0];
667
assign time_segment2 = bus_timing_1[6:4];
668
assign triple_sampling = bus_timing_1[7];
669
/* End Bus Timing 1 register */
670
 
671
 
672
/* Error Warning Limit register */
673
can_register_asyn #(8, 96) ERROR_WARNING_REG
674
( .data_in(data_in),
675
  .data_out(error_warning_limit),
676
  .we(we_error_warning_limit),
677
  .clk(clk),
678
  .rst(rst)
679
);
680
/* End Error Warning Limit register */
681
 
682
 
683
 
684
/* Clock Divider register */
685
wire   [7:0] clock_divider;
686
wire         clock_off;
687
wire   [2:0] cd;
688
reg    [2:0] clkout_div;
689
reg    [2:0] clkout_cnt;
690
reg          clkout_tmp;
691
//reg          clkout;
692
 
693
can_register #(1) CLOCK_DIVIDER_REG_7
694
( .data_in(data_in[7]),
695
  .data_out(clock_divider[7]),
696
  .we(we_clock_divider_hi),
697
  .clk(clk)
698
);
699
 
700
assign clock_divider[6:4] = 3'h0;
701
 
702
can_register #(1) CLOCK_DIVIDER_REG_3
703
( .data_in(data_in[3]),
704
  .data_out(clock_divider[3]),
705
  .we(we_clock_divider_hi),
706
  .clk(clk)
707
);
708
 
709
can_register #(3) CLOCK_DIVIDER_REG_LOW
710
( .data_in(data_in[2:0]),
711
  .data_out(clock_divider[2:0]),
712
  .we(we_clock_divider_low),
713
  .clk(clk)
714
);
715
 
716
assign extended_mode = clock_divider[7];
717
assign clock_off     = clock_divider[3];
718
assign cd[2:0]       = clock_divider[2:0];
719
 
720
 
721
 
722
always @ (cd)
723
begin
724
  case (cd)                       // synopsys_full_case synopsys_paralel_case
725
    3'b000 : clkout_div <= 0;
726
    3'b001 : clkout_div <= 1;
727
    3'b010 : clkout_div <= 2;
728
    3'b011 : clkout_div <= 3;
729
    3'b100 : clkout_div <= 4;
730
    3'b101 : clkout_div <= 5;
731
    3'b110 : clkout_div <= 6;
732
    3'b111 : clkout_div <= 0;
733
  endcase
734
end
735
 
736
 
737
 
738
always @ (posedge clk or posedge rst)
739
begin
740
  if (rst)
741
    clkout_cnt <= 3'h0;
742
  else if (clkout_cnt == clkout_div)
743
    clkout_cnt <=#Tp 3'h0;
744
  else
745
    clkout_cnt <= clkout_cnt + 1'b1;
746
end
747
 
748
 
749
 
750
always @ (posedge clk or posedge rst)
751
begin
752
  if (rst)
753
    clkout_tmp <= 1'b0;
754
  else if (clkout_cnt == clkout_div)
755
    clkout_tmp <=#Tp ~clkout_tmp;
756
end
757
 
758
 
759
/*
760
//always @ (cd or clk or clkout_tmp or clock_off)
761
always @ (cd or clkout_tmp or clock_off)
762
begin
763
  if (clock_off)
764
    clkout <=#Tp 1'b1;
765
//  else if (&cd)
766
//    clkout <=#Tp clk;
767
  else
768
    clkout <=#Tp clkout_tmp;
769
end
770
*/
771
assign clkout = clock_off ? 1'b1 : ((&cd)? clk : clkout_tmp);
772
 
773
 
774
 
775
/* End Clock Divider register */
776
 
777
 
778
 
779
 
780
/* This section is for BASIC and EXTENDED mode */
781
 
782
/* Acceptance code register */
783
can_register #(8) ACCEPTANCE_CODE_REG0
784
( .data_in(data_in),
785
  .data_out(acceptance_code_0),
786
  .we(we_acceptance_code_0),
787
  .clk(clk)
788
);
789
/* End: Acceptance code register */
790
 
791
 
792
/* Acceptance mask register */
793
can_register #(8) ACCEPTANCE_MASK_REG0
794
( .data_in(data_in),
795
  .data_out(acceptance_mask_0),
796
  .we(we_acceptance_mask_0),
797
  .clk(clk)
798
);
799
/* End: Acceptance mask register */
800
/* End: This section is for BASIC and EXTENDED mode */
801
 
802
 
803
/* Tx data 0 register. */
804
can_register #(8) TX_DATA_REG0
805
( .data_in(data_in),
806
  .data_out(tx_data_0),
807
  .we(we_tx_data_0),
808
  .clk(clk)
809
);
810
/* End: Tx data 0 register. */
811
 
812
 
813
/* Tx data 1 register. */
814
can_register #(8) TX_DATA_REG1
815
( .data_in(data_in),
816
  .data_out(tx_data_1),
817
  .we(we_tx_data_1),
818
  .clk(clk)
819
);
820
/* End: Tx data 1 register. */
821
 
822
 
823
/* Tx data 2 register. */
824
can_register #(8) TX_DATA_REG2
825
( .data_in(data_in),
826
  .data_out(tx_data_2),
827
  .we(we_tx_data_2),
828
  .clk(clk)
829
);
830
/* End: Tx data 2 register. */
831
 
832
 
833
/* Tx data 3 register. */
834
can_register #(8) TX_DATA_REG3
835
( .data_in(data_in),
836
  .data_out(tx_data_3),
837
  .we(we_tx_data_3),
838
  .clk(clk)
839
);
840
/* End: Tx data 3 register. */
841
 
842
 
843
/* Tx data 4 register. */
844
can_register #(8) TX_DATA_REG4
845
( .data_in(data_in),
846
  .data_out(tx_data_4),
847
  .we(we_tx_data_4),
848
  .clk(clk)
849
);
850
/* End: Tx data 4 register. */
851
 
852
 
853
/* Tx data 5 register. */
854
can_register #(8) TX_DATA_REG5
855
( .data_in(data_in),
856
  .data_out(tx_data_5),
857
  .we(we_tx_data_5),
858
  .clk(clk)
859
);
860
/* End: Tx data 5 register. */
861
 
862
 
863
/* Tx data 6 register. */
864
can_register #(8) TX_DATA_REG6
865
( .data_in(data_in),
866
  .data_out(tx_data_6),
867
  .we(we_tx_data_6),
868
  .clk(clk)
869
);
870
/* End: Tx data 6 register. */
871
 
872
 
873
/* Tx data 7 register. */
874
can_register #(8) TX_DATA_REG7
875
( .data_in(data_in),
876
  .data_out(tx_data_7),
877
  .we(we_tx_data_7),
878
  .clk(clk)
879
);
880
/* End: Tx data 7 register. */
881
 
882
 
883
/* Tx data 8 register. */
884
can_register #(8) TX_DATA_REG8
885
( .data_in(data_in),
886
  .data_out(tx_data_8),
887
  .we(we_tx_data_8),
888
  .clk(clk)
889
);
890
/* End: Tx data 8 register. */
891
 
892
 
893
/* Tx data 9 register. */
894
can_register #(8) TX_DATA_REG9
895
( .data_in(data_in),
896
  .data_out(tx_data_9),
897
  .we(we_tx_data_9),
898
  .clk(clk)
899
);
900
/* End: Tx data 9 register. */
901
 
902
 
903
/* Tx data 10 register. */
904
can_register #(8) TX_DATA_REG10
905
( .data_in(data_in),
906
  .data_out(tx_data_10),
907
  .we(we_tx_data_10),
908
  .clk(clk)
909
);
910
/* End: Tx data 10 register. */
911
 
912
 
913
/* Tx data 11 register. */
914
can_register #(8) TX_DATA_REG11
915
( .data_in(data_in),
916
  .data_out(tx_data_11),
917
  .we(we_tx_data_11),
918
  .clk(clk)
919
);
920
/* End: Tx data 11 register. */
921
 
922
 
923
/* Tx data 12 register. */
924
can_register #(8) TX_DATA_REG12
925
( .data_in(data_in),
926
  .data_out(tx_data_12),
927
  .we(we_tx_data_12),
928
  .clk(clk)
929
);
930
/* End: Tx data 12 register. */
931
 
932
 
933
 
934
 
935
 
936
/* This section is for EXTENDED mode */
937
 
938
/* Acceptance code register 1 */
939
can_register #(8) ACCEPTANCE_CODE_REG1
940
( .data_in(data_in),
941
  .data_out(acceptance_code_1),
942
  .we(we_acceptance_code_1),
943
  .clk(clk)
944
);
945
/* End: Acceptance code register */
946
 
947
 
948
/* Acceptance code register 2 */
949
can_register #(8) ACCEPTANCE_CODE_REG2
950
( .data_in(data_in),
951
  .data_out(acceptance_code_2),
952
  .we(we_acceptance_code_2),
953
  .clk(clk)
954
);
955
/* End: Acceptance code register */
956
 
957
 
958
/* Acceptance code register 3 */
959
can_register #(8) ACCEPTANCE_CODE_REG3
960
( .data_in(data_in),
961
  .data_out(acceptance_code_3),
962
  .we(we_acceptance_code_3),
963
  .clk(clk)
964
);
965
/* End: Acceptance code register */
966
 
967
 
968
/* Acceptance mask register 1 */
969
can_register #(8) ACCEPTANCE_MASK_REG1
970
( .data_in(data_in),
971
  .data_out(acceptance_mask_1),
972
  .we(we_acceptance_mask_1),
973
  .clk(clk)
974
);
975
/* End: Acceptance code register */
976
 
977
 
978
/* Acceptance mask register 2 */
979
can_register #(8) ACCEPTANCE_MASK_REG2
980
( .data_in(data_in),
981
  .data_out(acceptance_mask_2),
982
  .we(we_acceptance_mask_2),
983
  .clk(clk)
984
);
985
/* End: Acceptance code register */
986
 
987
 
988
/* Acceptance mask register 3 */
989
can_register #(8) ACCEPTANCE_MASK_REG3
990
( .data_in(data_in),
991
  .data_out(acceptance_mask_3),
992
  .we(we_acceptance_mask_3),
993
  .clk(clk)
994
);
995
/* End: Acceptance code register */
996
 
997
 
998
/* End: This section is for EXTENDED mode */
999
 
1000
 
1001
 
1002
 
1003
// Reading data from registers
1004
always @ ( addr or read or extended_mode or mode or bus_timing_0 or bus_timing_1 or clock_divider or
1005
           acceptance_code_0 or acceptance_code_1 or acceptance_code_2 or acceptance_code_3 or
1006
           acceptance_mask_0 or acceptance_mask_1 or acceptance_mask_2 or acceptance_mask_3 or
1007
           reset_mode or tx_data_0 or tx_data_1 or tx_data_2 or tx_data_3 or tx_data_4 or
1008
           tx_data_5 or tx_data_6 or tx_data_7 or tx_data_8 or tx_data_9 or status or
1009
           error_warning_limit or rx_err_cnt or tx_err_cnt or irq_en_ext or irq_reg or mode_ext or
1010
           arbitration_lost_capture or rx_message_counter or mode_basic or error_capture_code
1011
         )
1012
begin
1013
  if(read)  // read
1014
    begin
1015
      if (extended_mode)    // EXTENDED mode (Different register map depends on mode)
1016
        begin
1017
          case(addr)
1018
            8'd0  :  data_out_tmp <= {4'b0000, mode_ext[3:1], mode[0]};
1019
            8'd1  :  data_out_tmp <= 8'h0;
1020
            8'd2  :  data_out_tmp <= status;
1021
            8'd3  :  data_out_tmp <= irq_reg;
1022
            8'd4  :  data_out_tmp <= irq_en_ext;
1023
            8'd6  :  data_out_tmp <= bus_timing_0;
1024
            8'd7  :  data_out_tmp <= bus_timing_1;
1025
            8'd11 :  data_out_tmp <= {3'h0, arbitration_lost_capture[4:0]};
1026
            8'd12 :  data_out_tmp <= error_capture_code;
1027
            8'd13 :  data_out_tmp <= error_warning_limit;
1028
            8'd14 :  data_out_tmp <= rx_err_cnt;
1029
            8'd15 :  data_out_tmp <= tx_err_cnt;
1030
            8'd16 :  data_out_tmp <= acceptance_code_0;
1031
            8'd17 :  data_out_tmp <= acceptance_code_1;
1032
            8'd18 :  data_out_tmp <= acceptance_code_2;
1033
            8'd19 :  data_out_tmp <= acceptance_code_3;
1034
            8'd20 :  data_out_tmp <= acceptance_mask_0;
1035
            8'd21 :  data_out_tmp <= acceptance_mask_1;
1036
            8'd22 :  data_out_tmp <= acceptance_mask_2;
1037
            8'd23 :  data_out_tmp <= acceptance_mask_3;
1038
            8'd24 :  data_out_tmp <= 8'h0;
1039
            8'd25 :  data_out_tmp <= 8'h0;
1040
            8'd26 :  data_out_tmp <= 8'h0;
1041
            8'd27 :  data_out_tmp <= 8'h0;
1042
            8'd28 :  data_out_tmp <= 8'h0;
1043
            8'd29 :  data_out_tmp <= {1'b0, rx_message_counter};
1044
            8'd31 :  data_out_tmp <= clock_divider;
1045
 
1046
            default: data_out_tmp <= 8'h0;
1047
          endcase
1048
        end
1049
      else                  // BASIC mode
1050
        begin
1051
          case(addr)
1052
            8'd0  :  data_out_tmp <= {3'b001, mode_basic[4:1], mode[0]};
1053
            8'd1  :  data_out_tmp <= 8'hff;
1054
            8'd2  :  data_out_tmp <= status;
1055
            8'd3  :  data_out_tmp <= {4'hf, irq_reg[3:0]};
1056
            8'd4  :  data_out_tmp <= reset_mode? acceptance_code_0 : 8'hff;
1057
            8'd5  :  data_out_tmp <= reset_mode? acceptance_mask_0 : 8'hff;
1058
            8'd6  :  data_out_tmp <= reset_mode? bus_timing_0 : 8'hff;
1059
            8'd7  :  data_out_tmp <= reset_mode? bus_timing_1 : 8'hff;
1060
            8'd10 :  data_out_tmp <= reset_mode? 8'hff : tx_data_0;
1061
            8'd11 :  data_out_tmp <= reset_mode? 8'hff : tx_data_1;
1062
            8'd12 :  data_out_tmp <= reset_mode? 8'hff : tx_data_2;
1063
            8'd13 :  data_out_tmp <= reset_mode? 8'hff : tx_data_3;
1064
            8'd14 :  data_out_tmp <= reset_mode? 8'hff : tx_data_4;
1065
            8'd15 :  data_out_tmp <= reset_mode? 8'hff : tx_data_5;
1066
            8'd16 :  data_out_tmp <= reset_mode? 8'hff : tx_data_6;
1067
            8'd17 :  data_out_tmp <= reset_mode? 8'hff : tx_data_7;
1068
            8'd18 :  data_out_tmp <= reset_mode? 8'hff : tx_data_8;
1069
            8'd19 :  data_out_tmp <= reset_mode? 8'hff : tx_data_9;
1070
            8'd31 :  data_out_tmp <= clock_divider;
1071
 
1072
            default: data_out_tmp <= 8'h0;
1073
          endcase
1074
        end
1075
    end
1076
  else
1077
    data_out_tmp <= 8'h0;
1078
end
1079
 
1080
 
1081
always @ (posedge clk or posedge rst)
1082
begin
1083
  if (rst)
1084
    data_out <= 0;
1085
  else if (read)
1086
    data_out <=#Tp data_out_tmp;
1087
end
1088
 
1089
// Some interrupts exist in basic mode and in extended mode. Since they are in different registers they need to be multiplexed.
1090
assign data_overrun_irq_en  = extended_mode ? data_overrun_irq_en_ext  : overrun_irq_en_basic;
1091
assign error_warning_irq_en = extended_mode ? error_warning_irq_en_ext : error_irq_en_basic;
1092
assign transmit_irq_en      = extended_mode ? transmit_irq_en_ext      : transmit_irq_en_basic;
1093
assign receive_irq_en       = extended_mode ? receive_irq_en_ext       : receive_irq_en_basic;
1094
 
1095
 
1096
reg data_overrun_irq;
1097
always @ (posedge clk or posedge rst)
1098
begin
1099
  if (rst)
1100
    data_overrun_irq <= 1'b0;
1101
  else if (overrun & (~overrun_q) & data_overrun_irq_en)
1102
    data_overrun_irq <=#Tp 1'b1;
1103
  else if (read_irq_reg)
1104
    data_overrun_irq <=#Tp 1'b0;
1105
end
1106
 
1107
 
1108
reg transmit_irq;
1109
always @ (posedge clk or posedge rst)
1110
begin
1111
  if (rst)
1112
    transmit_irq <= 1'b0;
1113
  else if (transmit_buffer_status & (~transmit_buffer_status_q) & transmit_irq_en)
1114
    transmit_irq <=#Tp 1'b1;
1115
  else if (read_irq_reg)
1116
    transmit_irq <=#Tp 1'b0;
1117
end
1118
 
1119
 
1120
reg receive_irq;
1121
always @ (posedge clk or posedge rst)
1122
begin
1123
  if (rst)
1124
    receive_irq <= 1'b0;
1125
  else if (release_buffer)
1126
    receive_irq <=#Tp 1'b0;
1127
  else if ((~info_empty) & (~receive_irq) & receive_irq_en)
1128
    receive_irq <=#Tp 1'b1;
1129
end
1130
 
1131
 
1132
reg error_irq;
1133
always @ (posedge clk or posedge rst)
1134
begin
1135
  if (rst)
1136
    error_irq <= 1'b0;
1137
  else if (((error_status ^ error_status_q) | (node_bus_off ^ node_bus_off_q)) & error_warning_irq_en)
1138
    error_irq <=#Tp 1'b1;
1139
  else if (read_irq_reg)
1140
    error_irq <=#Tp 1'b0;
1141
end
1142
 
1143
 
1144
reg bus_error_irq;
1145
always @ (posedge clk or posedge rst)
1146
begin
1147
  if (rst)
1148
    bus_error_irq <= 1'b0;
1149
  else if (set_bus_error_irq & bus_error_irq_en)
1150
    bus_error_irq <=#Tp 1'b1;
1151
  else if (read_irq_reg)
1152
    bus_error_irq <=#Tp 1'b0;
1153
end
1154
 
1155
 
1156
reg arbitration_lost_irq;
1157
always @ (posedge clk or posedge rst)
1158
begin
1159
  if (rst)
1160
    arbitration_lost_irq <= 1'b0;
1161
  else if (set_arbitration_lost_irq & arbitration_lost_irq_en)
1162
    arbitration_lost_irq <=#Tp 1'b1;
1163
  else if (read_irq_reg)
1164
    arbitration_lost_irq <=#Tp 1'b0;
1165
end
1166
 
1167
 
1168
 
1169
reg error_passive_irq;
1170
always @ (posedge clk or posedge rst)
1171
begin
1172
  if (rst)
1173
    error_passive_irq <= 1'b0;
1174
  else if ((node_error_passive & (~node_error_passive_q) | (~node_error_passive) & node_error_passive_q & node_error_active) & error_passive_irq_en)
1175
    error_passive_irq <=#Tp 1'b1;
1176
  else if (read_irq_reg)
1177
    error_passive_irq <=#Tp 1'b0;
1178
end
1179
 
1180
 
1181
 
1182
assign irq_reg = {bus_error_irq, arbitration_lost_irq, error_passive_irq, 1'b0, data_overrun_irq, error_irq, transmit_irq, receive_irq};
1183
 
1184
assign irq = data_overrun_irq | transmit_irq | receive_irq | error_irq | bus_error_irq | arbitration_lost_irq | error_passive_irq;
1185
 
1186
 
1187
 
1188
 
1189
 
1190
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.