OpenCores
URL https://opencores.org/ocsvn/can/can/trunk

Subversion Repositories can

[/] [can/] [tags/] [rel_23/] [rtl/] [verilog/] [can_acf.v] - Blame information for rev 161

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  can_acf.v                                                   ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the CAN Protocol Controller            ////
7
////  http://www.opencores.org/projects/can/                      ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15
////  All additional information is available in the README.txt   ////
16
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20 135 mohor
//// Copyright (C) 2002, 2003, 2004 Authors                       ////
21 11 mohor
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43 28 mohor
//// The CAN protocol is developed by Robert Bosch GmbH and       ////
44
//// protected by patents. Anybody who wants to implement this    ////
45
//// CAN IP core on silicon has to obtain a CAN protocol license  ////
46
//// from Bosch.                                                  ////
47
////                                                              ////
48 11 mohor
//////////////////////////////////////////////////////////////////////
49
//
50
// CVS Revision History
51
//
52
// $Log: not supported by cvs2svn $
53 143 igorm
// Revision 1.8  2004/02/08 14:16:44  mohor
54
// Header changed.
55
//
56 135 mohor
// Revision 1.7  2003/07/16 13:41:34  mohor
57
// Fixed according to the linter.
58
//
59 108 mohor
// Revision 1.6  2003/02/10 16:02:11  mohor
60
// CAN is working according to the specification. WB interface and more
61
// registers (status, IRQ, ...) needs to be added.
62
//
63 30 mohor
// Revision 1.5  2003/02/09 18:40:29  mohor
64
// Overload fixed. Hard synchronization also enabled at the last bit of
65
// interframe.
66
//
67 29 mohor
// Revision 1.4  2003/02/09 02:24:33  mohor
68
// Bosch license warning added. Error counters finished. Overload frames
69
// still need to be fixed.
70
//
71 28 mohor
// Revision 1.3  2003/01/31 01:13:37  mohor
72
// backup.
73
//
74 24 mohor
// Revision 1.2  2003/01/14 12:19:35  mohor
75
// rx_fifo is now working.
76
//
77 16 mohor
// Revision 1.1  2003/01/08 02:13:15  mohor
78
// Acceptance filter added.
79 11 mohor
//
80
//
81
//
82 16 mohor
//
83 11 mohor
 
84
// synopsys translate_off
85
`include "timescale.v"
86
// synopsys translate_on
87
`include "can_defines.v"
88
 
89
module can_acf
90
(
91
  clk,
92
  rst,
93
 
94
  id,
95
 
96
  /* Mode register */
97
  reset_mode,
98
  acceptance_filter_mode,
99
 
100
  extended_mode,
101
 
102
  acceptance_code_0,
103
  acceptance_code_1,
104
  acceptance_code_2,
105
  acceptance_code_3,
106
  acceptance_mask_0,
107
  acceptance_mask_1,
108
  acceptance_mask_2,
109
  acceptance_mask_3,
110
 
111
  go_rx_crc_lim,
112 29 mohor
  go_rx_inter,
113 30 mohor
  go_error_frame,
114 11 mohor
 
115
  data0,
116
  data1,
117
  rtr1,
118
  rtr2,
119
  ide,
120 16 mohor
  no_byte0,
121
  no_byte1,
122 11 mohor
 
123
 
124
  id_ok
125
 
126
 
127
);
128
 
129
parameter Tp = 1;
130
 
131
input         clk;
132
input         rst;
133
input  [28:0] id;
134
input         reset_mode;
135
input         acceptance_filter_mode;
136
input         extended_mode;
137
 
138
input   [7:0] acceptance_code_0;
139
input   [7:0] acceptance_code_1;
140
input   [7:0] acceptance_code_2;
141
input   [7:0] acceptance_code_3;
142
input   [7:0] acceptance_mask_0;
143
input   [7:0] acceptance_mask_1;
144
input   [7:0] acceptance_mask_2;
145
input   [7:0] acceptance_mask_3;
146
input         go_rx_crc_lim;
147 29 mohor
input         go_rx_inter;
148 30 mohor
input         go_error_frame;
149 11 mohor
input   [7:0] data0;
150
input   [7:0] data1;
151
input         rtr1;
152
input         rtr2;
153
input         ide;
154 16 mohor
input         no_byte0;
155
input         no_byte1;
156 11 mohor
 
157
 
158
output        id_ok;
159
 
160
reg           id_ok;
161
 
162
wire          match;
163
wire          match_sf_std;
164
wire          match_sf_ext;
165
wire          match_df_std;
166
wire          match_df_ext;
167
 
168
 
169
// Working in basic mode. ID match for standard format (11-bit ID).
170
assign match =        ( (id[3]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
171
                        (id[4]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
172
                        (id[5]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
173
                        (id[6]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
174
                        (id[7]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
175
                        (id[8]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
176
                        (id[9]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
177
                        (id[10] == acceptance_code_0[7] | acceptance_mask_0[7] )
178
                      );
179
 
180
 
181
// Working in extended mode. ID match for standard format (11-bit ID). Using single filter.
182
assign match_sf_std = ( (id[3]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
183
                        (id[4]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
184
                        (id[5]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
185
                        (id[6]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
186
                        (id[7]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
187
                        (id[8]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
188
                        (id[9]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
189
                        (id[10] == acceptance_code_0[7] | acceptance_mask_0[7] ) &
190
 
191
                        (rtr1   == acceptance_code_1[4] | acceptance_mask_1[4] ) &
192
                        (id[0]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
193
                        (id[1]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
194
                        (id[2]  == acceptance_code_1[7] | acceptance_mask_1[7] ) &
195
 
196 16 mohor
                        (data0[0]  == acceptance_code_2[0] | acceptance_mask_2[0] | no_byte0) &
197
                        (data0[1]  == acceptance_code_2[1] | acceptance_mask_2[1] | no_byte0) &
198
                        (data0[2]  == acceptance_code_2[2] | acceptance_mask_2[2] | no_byte0) &
199
                        (data0[3]  == acceptance_code_2[3] | acceptance_mask_2[3] | no_byte0) &
200
                        (data0[4]  == acceptance_code_2[4] | acceptance_mask_2[4] | no_byte0) &
201
                        (data0[5]  == acceptance_code_2[5] | acceptance_mask_2[5] | no_byte0) &
202
                        (data0[6]  == acceptance_code_2[6] | acceptance_mask_2[6] | no_byte0) &
203
                        (data0[7]  == acceptance_code_2[7] | acceptance_mask_2[7] | no_byte0) &
204 11 mohor
 
205 16 mohor
                        (data1[0]  == acceptance_code_3[0] | acceptance_mask_3[0] | no_byte1) &
206
                        (data1[1]  == acceptance_code_3[1] | acceptance_mask_3[1] | no_byte1) &
207
                        (data1[2]  == acceptance_code_3[2] | acceptance_mask_3[2] | no_byte1) &
208
                        (data1[3]  == acceptance_code_3[3] | acceptance_mask_3[3] | no_byte1) &
209
                        (data1[4]  == acceptance_code_3[4] | acceptance_mask_3[4] | no_byte1) &
210
                        (data1[5]  == acceptance_code_3[5] | acceptance_mask_3[5] | no_byte1) &
211
                        (data1[6]  == acceptance_code_3[6] | acceptance_mask_3[6] | no_byte1) &
212
                        (data1[7]  == acceptance_code_3[7] | acceptance_mask_3[7] | no_byte1)
213 11 mohor
                      );
214
 
215
 
216 16 mohor
 
217 11 mohor
// Working in extended mode. ID match for extended format (29-bit ID). Using single filter.
218
assign match_sf_ext = ( (id[21]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
219
                        (id[22]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
220
                        (id[23]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
221
                        (id[24]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
222
                        (id[25]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
223
                        (id[26]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
224
                        (id[27]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
225
                        (id[28]  == acceptance_code_0[7] | acceptance_mask_0[7] ) &
226
 
227
                        (id[13]  == acceptance_code_1[0] | acceptance_mask_1[0] ) &
228
                        (id[14]  == acceptance_code_1[1] | acceptance_mask_1[1] ) &
229
                        (id[15]  == acceptance_code_1[2] | acceptance_mask_1[2] ) &
230
                        (id[16]  == acceptance_code_1[3] | acceptance_mask_1[3] ) &
231
                        (id[17]  == acceptance_code_1[4] | acceptance_mask_1[4] ) &
232
                        (id[18]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
233
                        (id[19]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
234
                        (id[20]  == acceptance_code_1[7] | acceptance_mask_1[7] ) &
235
 
236
                        (id[5]  == acceptance_code_2[0] | acceptance_mask_2[0] ) &
237
                        (id[6]  == acceptance_code_2[1] | acceptance_mask_2[1] ) &
238
                        (id[7]  == acceptance_code_2[2] | acceptance_mask_2[2] ) &
239
                        (id[8]  == acceptance_code_2[3] | acceptance_mask_2[3] ) &
240
                        (id[9]  == acceptance_code_2[4] | acceptance_mask_2[4] ) &
241
                        (id[10] == acceptance_code_2[5] | acceptance_mask_2[5] ) &
242
                        (id[11] == acceptance_code_2[6] | acceptance_mask_2[6] ) &
243
                        (id[12] == acceptance_code_2[7] | acceptance_mask_2[7] ) &
244
 
245
                        (rtr2   == acceptance_code_3[2] | acceptance_mask_3[2] ) &
246
                        (id[0]  == acceptance_code_3[3] | acceptance_mask_3[3] ) &
247
                        (id[1]  == acceptance_code_3[4] | acceptance_mask_3[4] ) &
248
                        (id[2]  == acceptance_code_3[5] | acceptance_mask_3[5] ) &
249
                        (id[3]  == acceptance_code_3[6] | acceptance_mask_3[6] ) &
250
                        (id[4]  == acceptance_code_3[7] | acceptance_mask_3[7] )
251
 
252
                      );
253
 
254
 
255
// Working in extended mode. ID match for standard format (11-bit ID). Using double filter.
256
assign match_df_std = (((id[3]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
257
                        (id[4]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
258
                        (id[5]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
259
                        (id[6]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
260
                        (id[7]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
261
                        (id[8]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
262
                        (id[9]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
263
                        (id[10] == acceptance_code_0[7] | acceptance_mask_0[7] ) &
264
 
265
                        (rtr1   == acceptance_code_1[4] | acceptance_mask_1[4] ) &
266
                        (id[0]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
267
                        (id[1]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
268
                        (id[2]  == acceptance_code_1[7] | acceptance_mask_1[7] ) &
269
 
270 16 mohor
                        (data0[0] == acceptance_code_3[0] | acceptance_mask_3[0] | no_byte0) &
271
                        (data0[1] == acceptance_code_3[1] | acceptance_mask_3[1] | no_byte0) &
272
                        (data0[2] == acceptance_code_3[2] | acceptance_mask_3[2] | no_byte0) &
273
                        (data0[3] == acceptance_code_3[3] | acceptance_mask_3[3] | no_byte0) &
274
                        (data0[4] == acceptance_code_1[4] | acceptance_mask_1[4] | no_byte0) &
275
                        (data0[5] == acceptance_code_1[5] | acceptance_mask_1[5] | no_byte0) &
276
                        (data0[6] == acceptance_code_1[6] | acceptance_mask_1[6] | no_byte0) &
277
                        (data0[7] == acceptance_code_1[7] | acceptance_mask_1[7] | no_byte0) )
278 11 mohor
 
279
                       |
280
 
281
                       ((id[3]  == acceptance_code_2[0] | acceptance_mask_2[0] ) &
282
                        (id[4]  == acceptance_code_2[1] | acceptance_mask_2[1] ) &
283
                        (id[5]  == acceptance_code_2[2] | acceptance_mask_2[2] ) &
284
                        (id[6]  == acceptance_code_2[3] | acceptance_mask_2[3] ) &
285
                        (id[7]  == acceptance_code_2[4] | acceptance_mask_2[4] ) &
286
                        (id[8]  == acceptance_code_2[5] | acceptance_mask_2[5] ) &
287
                        (id[9]  == acceptance_code_2[6] | acceptance_mask_2[6] ) &
288
                        (id[10] == acceptance_code_2[7] | acceptance_mask_2[7] ) &
289
 
290
                        (rtr1   == acceptance_code_3[4] | acceptance_mask_3[4] ) &
291
                        (id[0]  == acceptance_code_3[5] | acceptance_mask_3[5] ) &
292
                        (id[1]  == acceptance_code_3[6] | acceptance_mask_3[6] ) &
293
                        (id[2]  == acceptance_code_3[7] | acceptance_mask_3[7] ) )
294
 
295
                      );
296
 
297
 
298
// Working in extended mode. ID match for extended format (29-bit ID). Using double filter.
299
assign match_df_ext = (((id[21]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
300
                        (id[22]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
301
                        (id[23]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
302
                        (id[24]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
303
                        (id[25]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
304
                        (id[26]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
305
                        (id[27]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
306
                        (id[28]  == acceptance_code_0[7] | acceptance_mask_0[7] ) &
307
 
308
                        (id[13]  == acceptance_code_1[0] | acceptance_mask_1[0] ) &
309
                        (id[14]  == acceptance_code_1[1] | acceptance_mask_1[1] ) &
310
                        (id[15]  == acceptance_code_1[2] | acceptance_mask_1[2] ) &
311
                        (id[16]  == acceptance_code_1[3] | acceptance_mask_1[3] ) &
312
                        (id[17]  == acceptance_code_1[4] | acceptance_mask_1[4] ) &
313
                        (id[18]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
314
                        (id[19]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
315
                        (id[20]  == acceptance_code_1[7] | acceptance_mask_1[7] ) )
316
 
317
                       |
318
 
319
                       ((id[21]  == acceptance_code_2[0] | acceptance_mask_2[0] ) &
320
                        (id[22]  == acceptance_code_2[1] | acceptance_mask_2[1] ) &
321
                        (id[23]  == acceptance_code_2[2] | acceptance_mask_2[2] ) &
322
                        (id[24]  == acceptance_code_2[3] | acceptance_mask_2[3] ) &
323
                        (id[25]  == acceptance_code_2[4] | acceptance_mask_2[4] ) &
324
                        (id[26]  == acceptance_code_2[5] | acceptance_mask_2[5] ) &
325
                        (id[27]  == acceptance_code_2[6] | acceptance_mask_2[6] ) &
326
                        (id[28]  == acceptance_code_2[7] | acceptance_mask_2[7] ) &
327
 
328
                        (id[13]  == acceptance_code_3[0] | acceptance_mask_3[0] ) &
329
                        (id[14]  == acceptance_code_3[1] | acceptance_mask_3[1] ) &
330
                        (id[15]  == acceptance_code_3[2] | acceptance_mask_3[2] ) &
331
                        (id[16]  == acceptance_code_3[3] | acceptance_mask_3[3] ) &
332
                        (id[17]  == acceptance_code_3[4] | acceptance_mask_3[4] ) &
333
                        (id[18]  == acceptance_code_3[5] | acceptance_mask_3[5] ) &
334
                        (id[19]  == acceptance_code_3[6] | acceptance_mask_3[6] ) &
335
                        (id[20]  == acceptance_code_3[7] | acceptance_mask_3[7] ) )
336
                      );
337
 
338
 
339
 
340
// ID ok signal generation
341
always @ (posedge clk or posedge rst)
342
begin
343
  if (rst)
344 108 mohor
    id_ok <= 1'b0;
345 24 mohor
  else if (go_rx_crc_lim)                   // sample_point is already included in go_rx_crc_lim
346 11 mohor
    begin
347
      if (extended_mode)
348
        begin
349 143 igorm
          if (~acceptance_filter_mode)      // dual filter
350 11 mohor
            begin
351 29 mohor
              if (ide)                      // extended frame message
352 11 mohor
                id_ok <=#Tp match_df_ext;
353 29 mohor
              else                          // standard frame message
354 11 mohor
                id_ok <=#Tp match_df_std;
355
            end
356
          else                              // single filter
357
            begin
358
              if (ide)                      // extended frame message
359
                id_ok <=#Tp match_sf_ext;
360
              else                          // standard frame message
361
                id_ok <=#Tp match_sf_std;
362
            end
363
        end
364
      else
365
        id_ok <=#Tp match;
366
    end
367 30 mohor
  else if (reset_mode | go_rx_inter | go_error_frame)        // sample_point is already included in go_rx_inter
368 108 mohor
    id_ok <=#Tp 1'b0;
369 11 mohor
end
370
 
371
 
372
 
373
 
374
 
375
 
376
 
377
 
378
 
379
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.