OpenCores
URL https://opencores.org/ocsvn/can/can/trunk

Subversion Repositories can

[/] [can/] [tags/] [rel_23/] [rtl/] [verilog/] [can_registers.v] - Blame information for rev 102

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 66 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  can_registers.v                                             ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the CAN Protocol Controller            ////
7
////  http://www.opencores.org/projects/can/                      ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15
////  All additional information is available in the README.txt   ////
16
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2002, 2003 Authors                             ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//// The CAN protocol is developed by Robert Bosch GmbH and       ////
44
//// protected by patents. Anybody who wants to implement this    ////
45
//// CAN IP core on silicon has to obtain a CAN protocol license  ////
46
//// from Bosch.                                                  ////
47
////                                                              ////
48
//////////////////////////////////////////////////////////////////////
49
//
50
// CVS Revision History
51
//
52
// $Log: not supported by cvs2svn $
53 102 mohor
// Revision 1.27  2003/06/22 09:43:03  mohor
54
// synthesi full_case parallel_case fixed.
55
//
56 93 mohor
// Revision 1.26  2003/06/22 01:33:14  mohor
57
// clkout is clk/2 after the reset.
58
//
59 92 mohor
// Revision 1.25  2003/06/21 12:16:30  mohor
60
// paralel_case and full_case compiler directives added to case statements.
61
//
62 90 mohor
// Revision 1.24  2003/06/09 11:22:54  mohor
63
// data_out is already registered in the can_top.v file.
64
//
65 70 mohor
// Revision 1.23  2003/04/15 15:31:24  mohor
66
// Some features are supported in extended mode only (listen_only_mode...).
67
//
68 69 mohor
// Revision 1.22  2003/03/20 16:58:50  mohor
69
// unix.
70
//
71 66 mohor
// Revision 1.20  2003/03/11 16:31:05  mohor
72
// Mux used for clkout to avoid "gated clocks warning".
73
//
74
// Revision 1.19  2003/03/10 17:34:25  mohor
75
// Doubled declarations removed.
76
//
77
// Revision 1.18  2003/03/01 22:52:11  mohor
78
// Data is latched on read.
79
//
80
// Revision 1.17  2003/02/19 15:09:02  mohor
81
// Incomplete sensitivity list fixed.
82
//
83
// Revision 1.16  2003/02/19 14:44:03  mohor
84
// CAN core finished. Host interface added. Registers finished.
85
// Synchronization to the wishbone finished.
86
//
87
// Revision 1.15  2003/02/18 00:10:15  mohor
88
// Most of the registers added. Registers "arbitration lost capture", "error code
89
// capture" + few more still need to be added.
90
//
91
// Revision 1.14  2003/02/14 20:17:01  mohor
92
// Several registers added. Not finished, yet.
93
//
94
// Revision 1.13  2003/02/12 14:25:30  mohor
95
// abort_tx added.
96
//
97
// Revision 1.12  2003/02/11 00:56:06  mohor
98
// Wishbone interface added.
99
//
100
// Revision 1.11  2003/02/09 02:24:33  mohor
101
// Bosch license warning added. Error counters finished. Overload frames
102
// still need to be fixed.
103
//
104
// Revision 1.10  2003/01/31 01:13:38  mohor
105
// backup.
106
//
107
// Revision 1.9  2003/01/15 13:16:48  mohor
108
// When a frame with "remote request" is received, no data is stored
109
// to fifo, just the frame information (identifier, ...). Data length
110
// that is stored is the received data length and not the actual data
111
// length that is stored to fifo.
112
//
113
// Revision 1.8  2003/01/14 17:25:09  mohor
114
// Addresses corrected to decimal values (previously hex).
115
//
116
// Revision 1.7  2003/01/14 12:19:35  mohor
117
// rx_fifo is now working.
118
//
119
// Revision 1.6  2003/01/10 17:51:34  mohor
120
// Temporary version (backup).
121
//
122
// Revision 1.5  2003/01/09 14:46:58  mohor
123
// Temporary files (backup).
124
//
125
// Revision 1.4  2003/01/08 02:10:55  mohor
126
// Acceptance filter added.
127
//
128
// Revision 1.3  2002/12/27 00:12:52  mohor
129
// Header changed, testbench improved to send a frame (crc still missing).
130
//
131
// Revision 1.2  2002/12/26 16:00:34  mohor
132
// Testbench define file added. Clock divider register added.
133
//
134
// Revision 1.1.1.1  2002/12/20 16:39:21  mohor
135
// Initial
136
//
137
//
138
//
139
 
140
// synopsys translate_off
141
`include "timescale.v"
142
// synopsys translate_on
143
`include "can_defines.v"
144
 
145
module can_registers
146
(
147
  clk,
148
  rst,
149
  cs,
150
  we,
151
  addr,
152
  data_in,
153
  data_out,
154
  irq,
155
 
156
  sample_point,
157
  transmitting,
158
  set_reset_mode,
159
  node_bus_off,
160
  error_status,
161
  rx_err_cnt,
162
  tx_err_cnt,
163
  transmit_status,
164
  receive_status,
165
  tx_successful,
166
  need_to_tx,
167
  overrun,
168
  info_empty,
169
  set_bus_error_irq,
170
  set_arbitration_lost_irq,
171
  arbitration_lost_capture,
172
  node_error_passive,
173
  node_error_active,
174
  rx_message_counter,
175
 
176
 
177
  /* Mode register */
178
  reset_mode,
179
  listen_only_mode,
180
  acceptance_filter_mode,
181
  self_test_mode,
182
 
183
 
184
  /* Command register */
185
  clear_data_overrun,
186
  release_buffer,
187
  abort_tx,
188
  tx_request,
189
  self_rx_request,
190
  single_shot_transmission,
191
 
192
  /* Arbitration Lost Capture Register */
193
  read_arbitration_lost_capture_reg,
194
 
195
  /* Error Code Capture Register */
196
  read_error_code_capture_reg,
197
  error_capture_code,
198
 
199
  /* Bus Timing 0 register */
200
  baud_r_presc,
201
  sync_jump_width,
202
 
203
  /* Bus Timing 1 register */
204
  time_segment1,
205
  time_segment2,
206
  triple_sampling,
207
 
208
  /* Error Warning Limit register */
209
  error_warning_limit,
210
 
211
  /* Rx Error Counter register */
212
  we_rx_err_cnt,
213
 
214
  /* Tx Error Counter register */
215
  we_tx_err_cnt,
216
 
217
  /* Clock Divider register */
218
  extended_mode,
219
  clkout,
220
 
221
 
222
  /* This section is for BASIC and EXTENDED mode */
223
  /* Acceptance code register */
224
  acceptance_code_0,
225
 
226
  /* Acceptance mask register */
227
  acceptance_mask_0,
228
  /* End: This section is for BASIC and EXTENDED mode */
229
 
230
  /* This section is for EXTENDED mode */
231
  /* Acceptance code register */
232
  acceptance_code_1,
233
  acceptance_code_2,
234
  acceptance_code_3,
235
 
236
  /* Acceptance mask register */
237
  acceptance_mask_1,
238
  acceptance_mask_2,
239
  acceptance_mask_3,
240
  /* End: This section is for EXTENDED mode */
241
 
242
  /* Tx data registers. Holding identifier (basic mode), tx frame information (extended mode) and data */
243
  tx_data_0,
244
  tx_data_1,
245
  tx_data_2,
246
  tx_data_3,
247
  tx_data_4,
248
  tx_data_5,
249
  tx_data_6,
250
  tx_data_7,
251
  tx_data_8,
252
  tx_data_9,
253
  tx_data_10,
254
  tx_data_11,
255
  tx_data_12
256
  /* End: Tx data registers */
257
 
258
 
259
 
260
 
261
);
262
 
263
parameter Tp = 1;
264
 
265
input         clk;
266
input         rst;
267
input         cs;
268
input         we;
269
input   [7:0] addr;
270
input   [7:0] data_in;
271
 
272
output  [7:0] data_out;
273
reg     [7:0] data_out;
274
 
275
output        irq;
276
 
277
input         sample_point;
278
input         transmitting;
279
input         set_reset_mode;
280
input         node_bus_off;
281
input         error_status;
282
input   [7:0] rx_err_cnt;
283
input   [7:0] tx_err_cnt;
284
input         transmit_status;
285
input         receive_status;
286
input         tx_successful;
287
input         need_to_tx;
288
input         overrun;
289
input         info_empty;
290
input         set_bus_error_irq;
291
input         set_arbitration_lost_irq;
292
input   [4:0] arbitration_lost_capture;
293
input         node_error_passive;
294
input         node_error_active;
295
input   [6:0] rx_message_counter;
296
 
297
 
298
 
299
/* Mode register */
300
output        reset_mode;
301
output        listen_only_mode;
302
output        acceptance_filter_mode;
303
output        self_test_mode;
304
 
305
/* Command register */
306
output        clear_data_overrun;
307
output        release_buffer;
308
output        abort_tx;
309
output        tx_request;
310
output        self_rx_request;
311
output        single_shot_transmission;
312
 
313
/* Arbitration Lost Capture Register */
314
output        read_arbitration_lost_capture_reg;
315
 
316
/* Error Code Capture Register */
317
output        read_error_code_capture_reg;
318
input   [7:0] error_capture_code;
319
 
320
/* Bus Timing 0 register */
321
output  [5:0] baud_r_presc;
322
output  [1:0] sync_jump_width;
323
 
324
 
325
/* Bus Timing 1 register */
326
output  [3:0] time_segment1;
327
output  [2:0] time_segment2;
328
output        triple_sampling;
329
 
330
/* Error Warning Limit register */
331
output  [7:0] error_warning_limit;
332
 
333
/* Rx Error Counter register */
334
output        we_rx_err_cnt;
335
 
336
/* Tx Error Counter register */
337
output        we_tx_err_cnt;
338
 
339
/* Clock Divider register */
340
output        extended_mode;
341
output        clkout;
342
 
343
 
344
/* This section is for BASIC and EXTENDED mode */
345
/* Acceptance code register */
346
output  [7:0] acceptance_code_0;
347
 
348
/* Acceptance mask register */
349
output  [7:0] acceptance_mask_0;
350
 
351
/* End: This section is for BASIC and EXTENDED mode */
352
 
353
 
354
/* This section is for EXTENDED mode */
355
/* Acceptance code register */
356
output  [7:0] acceptance_code_1;
357
output  [7:0] acceptance_code_2;
358
output  [7:0] acceptance_code_3;
359
 
360
/* Acceptance mask register */
361
output  [7:0] acceptance_mask_1;
362
output  [7:0] acceptance_mask_2;
363
output  [7:0] acceptance_mask_3;
364
 
365
/* End: This section is for EXTENDED mode */
366
 
367
/* Tx data registers. Holding identifier (basic mode), tx frame information (extended mode) and data */
368
output  [7:0] tx_data_0;
369
output  [7:0] tx_data_1;
370
output  [7:0] tx_data_2;
371
output  [7:0] tx_data_3;
372
output  [7:0] tx_data_4;
373
output  [7:0] tx_data_5;
374
output  [7:0] tx_data_6;
375
output  [7:0] tx_data_7;
376
output  [7:0] tx_data_8;
377
output  [7:0] tx_data_9;
378
output  [7:0] tx_data_10;
379
output  [7:0] tx_data_11;
380
output  [7:0] tx_data_12;
381
/* End: Tx data registers */
382
 
383
 
384
reg           tx_successful_q;
385
reg           overrun_q;
386
reg           overrun_status;
387
reg           transmission_complete;
388
reg           transmit_buffer_status_q;
389
reg           receive_buffer_status;
390
reg           info_empty_q;
391
reg           error_status_q;
392
reg           node_bus_off_q;
393
reg           node_error_passive_q;
394
reg           transmit_buffer_status;
395
reg           single_shot_transmission;
396
 
397
 
398
// Some interrupts exist in basic mode and in extended mode. Since they are in different registers they need to be multiplexed.
399
wire          data_overrun_irq_en;
400
wire          error_warning_irq_en;
401
wire          transmit_irq_en;
402
wire          receive_irq_en;
403
 
404
wire    [7:0] irq_reg;
405
 
406
wire we_mode                  = cs & we & (addr == 8'd0);
407
wire we_command               = cs & we & (addr == 8'd1);
408
wire we_bus_timing_0          = cs & we & (addr == 8'd6) & reset_mode;
409
wire we_bus_timing_1          = cs & we & (addr == 8'd7) & reset_mode;
410
wire we_clock_divider_low     = cs & we & (addr == 8'd31);
411
wire we_clock_divider_hi      = we_clock_divider_low & reset_mode;
412
 
413
wire read = cs & (~we);
414
wire read_irq_reg = read & (addr == 8'd3);
415
assign read_arbitration_lost_capture_reg = read & extended_mode & (addr == 8'd11);
416
assign read_error_code_capture_reg = read & extended_mode & (addr == 8'd12);
417
 
418
/* This section is for BASIC and EXTENDED mode */
419
wire we_acceptance_code_0       = cs & we &   reset_mode  & ((~extended_mode) & (addr == 8'd4)  | extended_mode & (addr == 8'd16));
420
wire we_acceptance_mask_0       = cs & we &   reset_mode  & ((~extended_mode) & (addr == 8'd5)  | extended_mode & (addr == 8'd20));
421
wire we_tx_data_0               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd10) | extended_mode & (addr == 8'd16)) & transmit_buffer_status;
422
wire we_tx_data_1               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd11) | extended_mode & (addr == 8'd17)) & transmit_buffer_status;
423
wire we_tx_data_2               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd12) | extended_mode & (addr == 8'd18)) & transmit_buffer_status;
424
wire we_tx_data_3               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd13) | extended_mode & (addr == 8'd19)) & transmit_buffer_status;
425
wire we_tx_data_4               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd14) | extended_mode & (addr == 8'd20)) & transmit_buffer_status;
426
wire we_tx_data_5               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd15) | extended_mode & (addr == 8'd21)) & transmit_buffer_status;
427
wire we_tx_data_6               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd16) | extended_mode & (addr == 8'd22)) & transmit_buffer_status;
428
wire we_tx_data_7               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd17) | extended_mode & (addr == 8'd23)) & transmit_buffer_status;
429
wire we_tx_data_8               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd18) | extended_mode & (addr == 8'd24)) & transmit_buffer_status;
430
wire we_tx_data_9               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd19) | extended_mode & (addr == 8'd25)) & transmit_buffer_status;
431
wire we_tx_data_10              = cs & we & (~reset_mode) & (                                     extended_mode & (addr == 8'd26)) & transmit_buffer_status;
432
wire we_tx_data_11              = cs & we & (~reset_mode) & (                                     extended_mode & (addr == 8'd27)) & transmit_buffer_status;
433
wire we_tx_data_12              = cs & we & (~reset_mode) & (                                     extended_mode & (addr == 8'd28)) & transmit_buffer_status;
434
/* End: This section is for BASIC and EXTENDED mode */
435
 
436
 
437
/* This section is for EXTENDED mode */
438
wire   we_interrupt_enable      = cs & we & (addr == 8'd4)  & extended_mode;
439
wire   we_error_warning_limit   = cs & we & (addr == 8'd13) & reset_mode & extended_mode;
440
assign we_rx_err_cnt            = cs & we & (addr == 8'd14) & reset_mode & extended_mode;
441
assign we_tx_err_cnt            = cs & we & (addr == 8'd15) & reset_mode & extended_mode;
442
wire   we_acceptance_code_1     = cs & we & (addr == 8'd17) & reset_mode & extended_mode;
443
wire   we_acceptance_code_2     = cs & we & (addr == 8'd18) & reset_mode & extended_mode;
444
wire   we_acceptance_code_3     = cs & we & (addr == 8'd19) & reset_mode & extended_mode;
445
wire   we_acceptance_mask_1     = cs & we & (addr == 8'd21) & reset_mode & extended_mode;
446
wire   we_acceptance_mask_2     = cs & we & (addr == 8'd22) & reset_mode & extended_mode;
447
wire   we_acceptance_mask_3     = cs & we & (addr == 8'd23) & reset_mode & extended_mode;
448
/* End: This section is for EXTENDED mode */
449
 
450
 
451
 
452
always @ (posedge clk)
453
begin
454
  tx_successful_q           <=#Tp tx_successful;
455
  overrun_q                 <=#Tp overrun;
456
  transmit_buffer_status_q  <=#Tp transmit_buffer_status;
457
  info_empty_q              <=#Tp info_empty;
458
  error_status_q            <=#Tp error_status;
459
  node_bus_off_q            <=#Tp node_bus_off;
460
  node_error_passive_q      <=#Tp node_error_passive;
461
end
462
 
463
 
464
 
465
/* Mode register */
466
wire   [0:0] mode;
467
wire   [4:1] mode_basic;
468
wire   [3:1] mode_ext;
469
wire         receive_irq_en_basic;
470
wire         transmit_irq_en_basic;
471
wire         error_irq_en_basic;
472
wire         overrun_irq_en_basic;
473
 
474
can_register_asyn_syn #(1, 1'h1) MODE_REG0
475
( .data_in(data_in[0]),
476
  .data_out(mode[0]),
477
  .we(we_mode),
478
  .clk(clk),
479
  .rst(rst),
480
  .rst_sync(set_reset_mode)
481
);
482
 
483
can_register_asyn #(4, 0) MODE_REG_BASIC
484
( .data_in(data_in[4:1]),
485
  .data_out(mode_basic[4:1]),
486
  .we(we_mode),
487
  .clk(clk),
488
  .rst(rst)
489
);
490
 
491
can_register_asyn #(3, 0) MODE_REG_EXT
492
( .data_in(data_in[3:1]),
493
  .data_out(mode_ext[3:1]),
494
  .we(we_mode & reset_mode),
495
  .clk(clk),
496
  .rst(rst)
497
);
498
 
499
assign reset_mode             = mode[0];
500 69 mohor
assign listen_only_mode       = extended_mode & mode_ext[1];
501
assign self_test_mode         = extended_mode & mode_ext[2];
502
assign acceptance_filter_mode = extended_mode & mode_ext[3];
503 66 mohor
 
504
assign receive_irq_en_basic  = mode_basic[1];
505
assign transmit_irq_en_basic = mode_basic[2];
506
assign error_irq_en_basic    = mode_basic[3];
507
assign overrun_irq_en_basic  = mode_basic[4];
508
/* End Mode register */
509
 
510
 
511
/* Command register */
512
wire   [4:0] command;
513
can_register_asyn_syn #(1, 1'h0) COMMAND_REG0
514
( .data_in(data_in[0]),
515
  .data_out(command[0]),
516
  .we(we_command),
517
  .clk(clk),
518
  .rst(rst),
519
  .rst_sync(tx_request & sample_point)
520
);
521
 
522
can_register_asyn_syn #(1, 1'h0) COMMAND_REG1
523
( .data_in(data_in[1]),
524
  .data_out(command[1]),
525
  .we(we_command),
526
  .clk(clk),
527
  .rst(rst),
528
  .rst_sync(abort_tx & ~transmitting)
529
);
530
 
531
can_register_asyn_syn #(2, 2'h0) COMMAND_REG
532
( .data_in(data_in[3:2]),
533
  .data_out(command[3:2]),
534
  .we(we_command),
535
  .clk(clk),
536
  .rst(rst),
537
  .rst_sync(|command[3:2])
538
);
539
 
540
can_register_asyn_syn #(1, 1'h0) COMMAND_REG4
541
( .data_in(data_in[4]),
542
  .data_out(command[4]),
543
  .we(we_command),
544
  .clk(clk),
545
  .rst(rst),
546
  .rst_sync(tx_successful & (~tx_successful_q) | abort_tx)
547
);
548
 
549
assign self_rx_request = command[4] & (~command[0]);
550
assign clear_data_overrun = command[3];
551
assign release_buffer = command[2];
552
assign abort_tx = command[1] & (~command[0]) & (~command[4]);
553
assign tx_request = command[0] | command[4];
554
 
555
 
556
always @ (posedge clk or posedge rst)
557
begin
558
  if (rst)
559
    single_shot_transmission <= 1'b0;
560
  else if (we_command & data_in[1] & (data_in[1] | data_in[4]))
561
    single_shot_transmission <=#Tp 1'b1;
562
  else if (tx_successful & (~tx_successful_q))
563
    single_shot_transmission <=#Tp 1'b0;
564
end
565
 
566
 
567
 
568
/* End Command register */
569
 
570
 
571
/* Status register */
572
 
573
wire   [7:0] status;
574
 
575
assign status[7] = node_bus_off;
576
assign status[6] = error_status;
577
assign status[5] = transmit_status;
578
assign status[4] = receive_status;
579
assign status[3] = transmission_complete;
580
assign status[2] = transmit_buffer_status;
581
assign status[1] = overrun_status;
582
assign status[0] = receive_buffer_status;
583
 
584
 
585
 
586
always @ (posedge clk or posedge rst)
587
begin
588
  if (rst)
589
    transmission_complete <= 1'b1;
590
  else if (tx_successful & (~tx_successful_q) | abort_tx)
591
    transmission_complete <=#Tp 1'b1;
592
  else if (tx_request)
593
    transmission_complete <=#Tp 1'b0;
594
end
595
 
596
 
597
always @ (posedge clk or posedge rst)
598
begin
599
  if (rst)
600
    transmit_buffer_status <= 1'b1;
601
  else if (tx_request)
602
    transmit_buffer_status <=#Tp 1'b0;
603
  else if (~need_to_tx)
604
    transmit_buffer_status <=#Tp 1'b1;
605
end
606
 
607
 
608
always @ (posedge clk or posedge rst)
609
begin
610
  if (rst)
611
    overrun_status <= 1'b0;
612
  else if (overrun & (~overrun_q))
613
    overrun_status <=#Tp 1'b1;
614
  else if (clear_data_overrun)
615
    overrun_status <=#Tp 1'b0;
616
end
617
 
618
 
619
always @ (posedge clk or posedge rst)
620
begin
621
  if (rst)
622
    receive_buffer_status <= 1'b0;
623
  else if (release_buffer)
624
    receive_buffer_status <=#Tp 1'b0;
625
  else if (~info_empty)
626
    receive_buffer_status <=#Tp 1'b1;
627
end
628
 
629
/* End Status register */
630
 
631
 
632
/* Interrupt Enable register (extended mode) */
633
wire   [7:0] irq_en_ext;
634
wire         bus_error_irq_en;
635
wire         arbitration_lost_irq_en;
636
wire         error_passive_irq_en;
637
wire         data_overrun_irq_en_ext;
638
wire         error_warning_irq_en_ext;
639
wire         transmit_irq_en_ext;
640
wire         receive_irq_en_ext;
641
 
642
can_register #(8) IRQ_EN_REG
643
( .data_in(data_in),
644
  .data_out(irq_en_ext),
645
  .we(we_interrupt_enable),
646
  .clk(clk)
647
);
648
 
649
 
650
assign bus_error_irq_en             = irq_en_ext[7];
651
assign arbitration_lost_irq_en      = irq_en_ext[6];
652
assign error_passive_irq_en         = irq_en_ext[5];
653
assign data_overrun_irq_en_ext      = irq_en_ext[3];
654
assign error_warning_irq_en_ext     = irq_en_ext[2];
655
assign transmit_irq_en_ext          = irq_en_ext[1];
656
assign receive_irq_en_ext           = irq_en_ext[0];
657
/* End Bus Timing 0 register */
658
 
659
 
660
/* Bus Timing 0 register */
661
wire   [7:0] bus_timing_0;
662
can_register #(8) BUS_TIMING_0_REG
663
( .data_in(data_in),
664
  .data_out(bus_timing_0),
665
  .we(we_bus_timing_0),
666
  .clk(clk)
667
);
668
 
669
assign baud_r_presc = bus_timing_0[5:0];
670
assign sync_jump_width = bus_timing_0[7:6];
671
/* End Bus Timing 0 register */
672
 
673
 
674
/* Bus Timing 1 register */
675
wire   [7:0] bus_timing_1;
676
can_register #(8) BUS_TIMING_1_REG
677
( .data_in(data_in),
678
  .data_out(bus_timing_1),
679
  .we(we_bus_timing_1),
680
  .clk(clk)
681
);
682
 
683
assign time_segment1 = bus_timing_1[3:0];
684
assign time_segment2 = bus_timing_1[6:4];
685
assign triple_sampling = bus_timing_1[7];
686
/* End Bus Timing 1 register */
687
 
688
 
689
/* Error Warning Limit register */
690
can_register_asyn #(8, 96) ERROR_WARNING_REG
691
( .data_in(data_in),
692
  .data_out(error_warning_limit),
693
  .we(we_error_warning_limit),
694
  .clk(clk),
695
  .rst(rst)
696
);
697
/* End Error Warning Limit register */
698
 
699
 
700
 
701
/* Clock Divider register */
702
wire   [7:0] clock_divider;
703
wire         clock_off;
704
wire   [2:0] cd;
705
reg    [2:0] clkout_div;
706
reg    [2:0] clkout_cnt;
707
reg          clkout_tmp;
708
//reg          clkout;
709
 
710 92 mohor
can_register_asyn #(1, 0) CLOCK_DIVIDER_REG_7
711 66 mohor
( .data_in(data_in[7]),
712
  .data_out(clock_divider[7]),
713
  .we(we_clock_divider_hi),
714 92 mohor
  .clk(clk),
715
  .rst(rst)
716 66 mohor
);
717
 
718
assign clock_divider[6:4] = 3'h0;
719
 
720 92 mohor
can_register_asyn #(1, 0) CLOCK_DIVIDER_REG_3
721 66 mohor
( .data_in(data_in[3]),
722
  .data_out(clock_divider[3]),
723
  .we(we_clock_divider_hi),
724 92 mohor
  .clk(clk),
725
  .rst(rst)
726 66 mohor
);
727
 
728 92 mohor
can_register_asyn #(3, 0) CLOCK_DIVIDER_REG_LOW
729 66 mohor
( .data_in(data_in[2:0]),
730
  .data_out(clock_divider[2:0]),
731
  .we(we_clock_divider_low),
732 92 mohor
  .clk(clk),
733
  .rst(rst)
734 66 mohor
);
735
 
736
assign extended_mode = clock_divider[7];
737
assign clock_off     = clock_divider[3];
738
assign cd[2:0]       = clock_divider[2:0];
739
 
740
 
741
 
742
always @ (cd)
743
begin
744 93 mohor
  case (cd)                       /* synthesis full_case parallel_case */
745 66 mohor
    3'b000 : clkout_div <= 0;
746
    3'b001 : clkout_div <= 1;
747
    3'b010 : clkout_div <= 2;
748
    3'b011 : clkout_div <= 3;
749
    3'b100 : clkout_div <= 4;
750
    3'b101 : clkout_div <= 5;
751
    3'b110 : clkout_div <= 6;
752
    3'b111 : clkout_div <= 0;
753
  endcase
754
end
755
 
756
 
757
 
758
always @ (posedge clk or posedge rst)
759
begin
760
  if (rst)
761
    clkout_cnt <= 3'h0;
762
  else if (clkout_cnt == clkout_div)
763
    clkout_cnt <=#Tp 3'h0;
764
  else
765
    clkout_cnt <= clkout_cnt + 1'b1;
766
end
767
 
768
 
769
 
770
always @ (posedge clk or posedge rst)
771
begin
772
  if (rst)
773
    clkout_tmp <= 1'b0;
774
  else if (clkout_cnt == clkout_div)
775
    clkout_tmp <=#Tp ~clkout_tmp;
776
end
777
 
778
 
779
/*
780
//always @ (cd or clk or clkout_tmp or clock_off)
781
always @ (cd or clkout_tmp or clock_off)
782
begin
783
  if (clock_off)
784
    clkout <=#Tp 1'b1;
785
//  else if (&cd)
786
//    clkout <=#Tp clk;
787
  else
788
    clkout <=#Tp clkout_tmp;
789
end
790
*/
791
assign clkout = clock_off ? 1'b1 : ((&cd)? clk : clkout_tmp);
792
 
793
 
794
 
795
/* End Clock Divider register */
796
 
797
 
798
 
799
 
800
/* This section is for BASIC and EXTENDED mode */
801
 
802
/* Acceptance code register */
803
can_register #(8) ACCEPTANCE_CODE_REG0
804
( .data_in(data_in),
805
  .data_out(acceptance_code_0),
806
  .we(we_acceptance_code_0),
807
  .clk(clk)
808
);
809
/* End: Acceptance code register */
810
 
811
 
812
/* Acceptance mask register */
813
can_register #(8) ACCEPTANCE_MASK_REG0
814
( .data_in(data_in),
815
  .data_out(acceptance_mask_0),
816
  .we(we_acceptance_mask_0),
817
  .clk(clk)
818
);
819
/* End: Acceptance mask register */
820
/* End: This section is for BASIC and EXTENDED mode */
821
 
822
 
823
/* Tx data 0 register. */
824
can_register #(8) TX_DATA_REG0
825
( .data_in(data_in),
826
  .data_out(tx_data_0),
827
  .we(we_tx_data_0),
828
  .clk(clk)
829
);
830
/* End: Tx data 0 register. */
831
 
832
 
833
/* Tx data 1 register. */
834
can_register #(8) TX_DATA_REG1
835
( .data_in(data_in),
836
  .data_out(tx_data_1),
837
  .we(we_tx_data_1),
838
  .clk(clk)
839
);
840
/* End: Tx data 1 register. */
841
 
842
 
843
/* Tx data 2 register. */
844
can_register #(8) TX_DATA_REG2
845
( .data_in(data_in),
846
  .data_out(tx_data_2),
847
  .we(we_tx_data_2),
848
  .clk(clk)
849
);
850
/* End: Tx data 2 register. */
851
 
852
 
853
/* Tx data 3 register. */
854
can_register #(8) TX_DATA_REG3
855
( .data_in(data_in),
856
  .data_out(tx_data_3),
857
  .we(we_tx_data_3),
858
  .clk(clk)
859
);
860
/* End: Tx data 3 register. */
861
 
862
 
863
/* Tx data 4 register. */
864
can_register #(8) TX_DATA_REG4
865
( .data_in(data_in),
866
  .data_out(tx_data_4),
867
  .we(we_tx_data_4),
868
  .clk(clk)
869
);
870
/* End: Tx data 4 register. */
871
 
872
 
873
/* Tx data 5 register. */
874
can_register #(8) TX_DATA_REG5
875
( .data_in(data_in),
876
  .data_out(tx_data_5),
877
  .we(we_tx_data_5),
878
  .clk(clk)
879
);
880
/* End: Tx data 5 register. */
881
 
882
 
883
/* Tx data 6 register. */
884
can_register #(8) TX_DATA_REG6
885
( .data_in(data_in),
886
  .data_out(tx_data_6),
887
  .we(we_tx_data_6),
888
  .clk(clk)
889
);
890
/* End: Tx data 6 register. */
891
 
892
 
893
/* Tx data 7 register. */
894
can_register #(8) TX_DATA_REG7
895
( .data_in(data_in),
896
  .data_out(tx_data_7),
897
  .we(we_tx_data_7),
898
  .clk(clk)
899
);
900
/* End: Tx data 7 register. */
901
 
902
 
903
/* Tx data 8 register. */
904
can_register #(8) TX_DATA_REG8
905
( .data_in(data_in),
906
  .data_out(tx_data_8),
907
  .we(we_tx_data_8),
908
  .clk(clk)
909
);
910
/* End: Tx data 8 register. */
911
 
912
 
913
/* Tx data 9 register. */
914
can_register #(8) TX_DATA_REG9
915
( .data_in(data_in),
916
  .data_out(tx_data_9),
917
  .we(we_tx_data_9),
918
  .clk(clk)
919
);
920
/* End: Tx data 9 register. */
921
 
922
 
923
/* Tx data 10 register. */
924
can_register #(8) TX_DATA_REG10
925
( .data_in(data_in),
926
  .data_out(tx_data_10),
927
  .we(we_tx_data_10),
928
  .clk(clk)
929
);
930
/* End: Tx data 10 register. */
931
 
932
 
933
/* Tx data 11 register. */
934
can_register #(8) TX_DATA_REG11
935
( .data_in(data_in),
936
  .data_out(tx_data_11),
937
  .we(we_tx_data_11),
938
  .clk(clk)
939
);
940
/* End: Tx data 11 register. */
941
 
942
 
943
/* Tx data 12 register. */
944
can_register #(8) TX_DATA_REG12
945
( .data_in(data_in),
946
  .data_out(tx_data_12),
947
  .we(we_tx_data_12),
948
  .clk(clk)
949
);
950
/* End: Tx data 12 register. */
951
 
952
 
953
 
954
 
955
 
956
/* This section is for EXTENDED mode */
957
 
958
/* Acceptance code register 1 */
959
can_register #(8) ACCEPTANCE_CODE_REG1
960
( .data_in(data_in),
961
  .data_out(acceptance_code_1),
962
  .we(we_acceptance_code_1),
963
  .clk(clk)
964
);
965
/* End: Acceptance code register */
966
 
967
 
968
/* Acceptance code register 2 */
969
can_register #(8) ACCEPTANCE_CODE_REG2
970
( .data_in(data_in),
971
  .data_out(acceptance_code_2),
972
  .we(we_acceptance_code_2),
973
  .clk(clk)
974
);
975
/* End: Acceptance code register */
976
 
977
 
978
/* Acceptance code register 3 */
979
can_register #(8) ACCEPTANCE_CODE_REG3
980
( .data_in(data_in),
981
  .data_out(acceptance_code_3),
982
  .we(we_acceptance_code_3),
983
  .clk(clk)
984
);
985
/* End: Acceptance code register */
986
 
987
 
988
/* Acceptance mask register 1 */
989
can_register #(8) ACCEPTANCE_MASK_REG1
990
( .data_in(data_in),
991
  .data_out(acceptance_mask_1),
992
  .we(we_acceptance_mask_1),
993
  .clk(clk)
994
);
995
/* End: Acceptance code register */
996
 
997
 
998
/* Acceptance mask register 2 */
999
can_register #(8) ACCEPTANCE_MASK_REG2
1000
( .data_in(data_in),
1001
  .data_out(acceptance_mask_2),
1002
  .we(we_acceptance_mask_2),
1003
  .clk(clk)
1004
);
1005
/* End: Acceptance code register */
1006
 
1007
 
1008
/* Acceptance mask register 3 */
1009
can_register #(8) ACCEPTANCE_MASK_REG3
1010
( .data_in(data_in),
1011
  .data_out(acceptance_mask_3),
1012
  .we(we_acceptance_mask_3),
1013
  .clk(clk)
1014
);
1015
/* End: Acceptance code register */
1016
 
1017
 
1018
/* End: This section is for EXTENDED mode */
1019
 
1020
 
1021
 
1022
 
1023
// Reading data from registers
1024
always @ ( addr or read or extended_mode or mode or bus_timing_0 or bus_timing_1 or clock_divider or
1025
           acceptance_code_0 or acceptance_code_1 or acceptance_code_2 or acceptance_code_3 or
1026
           acceptance_mask_0 or acceptance_mask_1 or acceptance_mask_2 or acceptance_mask_3 or
1027
           reset_mode or tx_data_0 or tx_data_1 or tx_data_2 or tx_data_3 or tx_data_4 or
1028
           tx_data_5 or tx_data_6 or tx_data_7 or tx_data_8 or tx_data_9 or status or
1029
           error_warning_limit or rx_err_cnt or tx_err_cnt or irq_en_ext or irq_reg or mode_ext or
1030
           arbitration_lost_capture or rx_message_counter or mode_basic or error_capture_code
1031
         )
1032
begin
1033
  if(read)  // read
1034
    begin
1035
      if (extended_mode)    // EXTENDED mode (Different register map depends on mode)
1036
        begin
1037 93 mohor
          case(addr)  /* synthesis full_case parallel_case */
1038 70 mohor
            8'd0  :  data_out <= {4'b0000, mode_ext[3:1], mode[0]};
1039
            8'd1  :  data_out <= 8'h0;
1040
            8'd2  :  data_out <= status;
1041
            8'd3  :  data_out <= irq_reg;
1042
            8'd4  :  data_out <= irq_en_ext;
1043
            8'd6  :  data_out <= bus_timing_0;
1044
            8'd7  :  data_out <= bus_timing_1;
1045
            8'd11 :  data_out <= {3'h0, arbitration_lost_capture[4:0]};
1046
            8'd12 :  data_out <= error_capture_code;
1047
            8'd13 :  data_out <= error_warning_limit;
1048
            8'd14 :  data_out <= rx_err_cnt;
1049
            8'd15 :  data_out <= tx_err_cnt;
1050
            8'd16 :  data_out <= acceptance_code_0;
1051
            8'd17 :  data_out <= acceptance_code_1;
1052
            8'd18 :  data_out <= acceptance_code_2;
1053
            8'd19 :  data_out <= acceptance_code_3;
1054
            8'd20 :  data_out <= acceptance_mask_0;
1055
            8'd21 :  data_out <= acceptance_mask_1;
1056
            8'd22 :  data_out <= acceptance_mask_2;
1057
            8'd23 :  data_out <= acceptance_mask_3;
1058
            8'd24 :  data_out <= 8'h0;
1059
            8'd25 :  data_out <= 8'h0;
1060
            8'd26 :  data_out <= 8'h0;
1061
            8'd27 :  data_out <= 8'h0;
1062
            8'd28 :  data_out <= 8'h0;
1063
            8'd29 :  data_out <= {1'b0, rx_message_counter};
1064
            8'd31 :  data_out <= clock_divider;
1065 66 mohor
          endcase
1066
        end
1067
      else                  // BASIC mode
1068
        begin
1069 93 mohor
          case(addr)  /* synthesis full_case parallel_case */
1070 70 mohor
            8'd0  :  data_out <= {3'b001, mode_basic[4:1], mode[0]};
1071
            8'd1  :  data_out <= 8'hff;
1072
            8'd2  :  data_out <= status;
1073
            8'd3  :  data_out <= {4'hf, irq_reg[3:0]};
1074
            8'd4  :  data_out <= reset_mode? acceptance_code_0 : 8'hff;
1075
            8'd5  :  data_out <= reset_mode? acceptance_mask_0 : 8'hff;
1076
            8'd6  :  data_out <= reset_mode? bus_timing_0 : 8'hff;
1077
            8'd7  :  data_out <= reset_mode? bus_timing_1 : 8'hff;
1078
            8'd10 :  data_out <= reset_mode? 8'hff : tx_data_0;
1079
            8'd11 :  data_out <= reset_mode? 8'hff : tx_data_1;
1080
            8'd12 :  data_out <= reset_mode? 8'hff : tx_data_2;
1081
            8'd13 :  data_out <= reset_mode? 8'hff : tx_data_3;
1082
            8'd14 :  data_out <= reset_mode? 8'hff : tx_data_4;
1083
            8'd15 :  data_out <= reset_mode? 8'hff : tx_data_5;
1084
            8'd16 :  data_out <= reset_mode? 8'hff : tx_data_6;
1085
            8'd17 :  data_out <= reset_mode? 8'hff : tx_data_7;
1086
            8'd18 :  data_out <= reset_mode? 8'hff : tx_data_8;
1087
            8'd19 :  data_out <= reset_mode? 8'hff : tx_data_9;
1088
            8'd31 :  data_out <= clock_divider;
1089 66 mohor
          endcase
1090
        end
1091
    end
1092
  else
1093 70 mohor
    data_out <= 8'h0;
1094 66 mohor
end
1095
 
1096
 
1097
// Some interrupts exist in basic mode and in extended mode. Since they are in different registers they need to be multiplexed.
1098
assign data_overrun_irq_en  = extended_mode ? data_overrun_irq_en_ext  : overrun_irq_en_basic;
1099
assign error_warning_irq_en = extended_mode ? error_warning_irq_en_ext : error_irq_en_basic;
1100
assign transmit_irq_en      = extended_mode ? transmit_irq_en_ext      : transmit_irq_en_basic;
1101
assign receive_irq_en       = extended_mode ? receive_irq_en_ext       : receive_irq_en_basic;
1102
 
1103
 
1104
reg data_overrun_irq;
1105
always @ (posedge clk or posedge rst)
1106
begin
1107
  if (rst)
1108
    data_overrun_irq <= 1'b0;
1109
  else if (overrun & (~overrun_q) & data_overrun_irq_en)
1110
    data_overrun_irq <=#Tp 1'b1;
1111
  else if (read_irq_reg)
1112
    data_overrun_irq <=#Tp 1'b0;
1113
end
1114
 
1115
 
1116
reg transmit_irq;
1117
always @ (posedge clk or posedge rst)
1118
begin
1119
  if (rst)
1120
    transmit_irq <= 1'b0;
1121
  else if (transmit_buffer_status & (~transmit_buffer_status_q) & transmit_irq_en)
1122
    transmit_irq <=#Tp 1'b1;
1123
  else if (read_irq_reg)
1124
    transmit_irq <=#Tp 1'b0;
1125
end
1126
 
1127
 
1128
reg receive_irq;
1129
always @ (posedge clk or posedge rst)
1130
begin
1131
  if (rst)
1132
    receive_irq <= 1'b0;
1133
  else if (release_buffer)
1134
    receive_irq <=#Tp 1'b0;
1135
  else if ((~info_empty) & (~receive_irq) & receive_irq_en)
1136
    receive_irq <=#Tp 1'b1;
1137
end
1138
 
1139
 
1140
reg error_irq;
1141
always @ (posedge clk or posedge rst)
1142
begin
1143
  if (rst)
1144
    error_irq <= 1'b0;
1145
  else if (((error_status ^ error_status_q) | (node_bus_off ^ node_bus_off_q)) & error_warning_irq_en)
1146
    error_irq <=#Tp 1'b1;
1147
  else if (read_irq_reg)
1148
    error_irq <=#Tp 1'b0;
1149
end
1150
 
1151
 
1152
reg bus_error_irq;
1153
always @ (posedge clk or posedge rst)
1154
begin
1155
  if (rst)
1156
    bus_error_irq <= 1'b0;
1157
  else if (set_bus_error_irq & bus_error_irq_en)
1158
    bus_error_irq <=#Tp 1'b1;
1159
  else if (read_irq_reg)
1160
    bus_error_irq <=#Tp 1'b0;
1161
end
1162
 
1163
 
1164
reg arbitration_lost_irq;
1165
always @ (posedge clk or posedge rst)
1166
begin
1167
  if (rst)
1168
    arbitration_lost_irq <= 1'b0;
1169
  else if (set_arbitration_lost_irq & arbitration_lost_irq_en)
1170
    arbitration_lost_irq <=#Tp 1'b1;
1171
  else if (read_irq_reg)
1172
    arbitration_lost_irq <=#Tp 1'b0;
1173
end
1174
 
1175
 
1176
 
1177
reg error_passive_irq;
1178
always @ (posedge clk or posedge rst)
1179
begin
1180
  if (rst)
1181
    error_passive_irq <= 1'b0;
1182
  else if ((node_error_passive & (~node_error_passive_q) | (~node_error_passive) & node_error_passive_q & node_error_active) & error_passive_irq_en)
1183
    error_passive_irq <=#Tp 1'b1;
1184
  else if (read_irq_reg)
1185
    error_passive_irq <=#Tp 1'b0;
1186
end
1187
 
1188
 
1189
 
1190
assign irq_reg = {bus_error_irq, arbitration_lost_irq, error_passive_irq, 1'b0, data_overrun_irq, error_irq, transmit_irq, receive_irq};
1191
 
1192
assign irq = data_overrun_irq | transmit_irq | receive_irq | error_irq | bus_error_irq | arbitration_lost_irq | error_passive_irq;
1193
 
1194
 
1195
 
1196
 
1197
 
1198
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.