OpenCores
URL https://opencores.org/ocsvn/can/can/trunk

Subversion Repositories can

[/] [can/] [tags/] [rel_23/] [rtl/] [verilog/] [can_registers.v] - Blame information for rev 163

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 66 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  can_registers.v                                             ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the CAN Protocol Controller            ////
7
////  http://www.opencores.org/projects/can/                      ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15
////  All additional information is available in the README.txt   ////
16
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2002, 2003 Authors                             ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//// The CAN protocol is developed by Robert Bosch GmbH and       ////
44
//// protected by patents. Anybody who wants to implement this    ////
45
//// CAN IP core on silicon has to obtain a CAN protocol license  ////
46
//// from Bosch.                                                  ////
47
////                                                              ////
48
//////////////////////////////////////////////////////////////////////
49
//
50
// CVS Revision History
51
//
52
// $Log: not supported by cvs2svn $
53 147 igorm
// Revision 1.32  2004/05/12 15:58:41  igorm
54
// Core improved to pass all tests with the Bosch VHDL Reference system.
55
//
56 141 igorm
// Revision 1.31  2003/09/25 18:55:49  mohor
57
// Synchronization changed, error counters fixed.
58
//
59 125 mohor
// Revision 1.30  2003/07/16 15:19:34  mohor
60
// Fixed according to the linter.
61
// Case statement for data_out joined.
62
//
63 111 mohor
// Revision 1.29  2003/07/10 01:59:04  tadejm
64
// Synchronization fixed. In some strange cases it didn't work according to
65
// the VHDL reference model.
66
//
67 104 tadejm
// Revision 1.28  2003/07/07 11:21:37  mohor
68
// Little fixes (to fix warnings).
69
//
70 102 mohor
// Revision 1.27  2003/06/22 09:43:03  mohor
71
// synthesi full_case parallel_case fixed.
72
//
73 93 mohor
// Revision 1.26  2003/06/22 01:33:14  mohor
74
// clkout is clk/2 after the reset.
75
//
76 92 mohor
// Revision 1.25  2003/06/21 12:16:30  mohor
77
// paralel_case and full_case compiler directives added to case statements.
78
//
79 90 mohor
// Revision 1.24  2003/06/09 11:22:54  mohor
80
// data_out is already registered in the can_top.v file.
81
//
82 70 mohor
// Revision 1.23  2003/04/15 15:31:24  mohor
83
// Some features are supported in extended mode only (listen_only_mode...).
84
//
85 69 mohor
// Revision 1.22  2003/03/20 16:58:50  mohor
86
// unix.
87
//
88 66 mohor
// Revision 1.20  2003/03/11 16:31:05  mohor
89
// Mux used for clkout to avoid "gated clocks warning".
90
//
91
// Revision 1.19  2003/03/10 17:34:25  mohor
92
// Doubled declarations removed.
93
//
94
// Revision 1.18  2003/03/01 22:52:11  mohor
95
// Data is latched on read.
96
//
97
// Revision 1.17  2003/02/19 15:09:02  mohor
98
// Incomplete sensitivity list fixed.
99
//
100
// Revision 1.16  2003/02/19 14:44:03  mohor
101
// CAN core finished. Host interface added. Registers finished.
102
// Synchronization to the wishbone finished.
103
//
104
// Revision 1.15  2003/02/18 00:10:15  mohor
105
// Most of the registers added. Registers "arbitration lost capture", "error code
106
// capture" + few more still need to be added.
107
//
108
// Revision 1.14  2003/02/14 20:17:01  mohor
109
// Several registers added. Not finished, yet.
110
//
111
// Revision 1.13  2003/02/12 14:25:30  mohor
112
// abort_tx added.
113
//
114
// Revision 1.12  2003/02/11 00:56:06  mohor
115
// Wishbone interface added.
116
//
117
// Revision 1.11  2003/02/09 02:24:33  mohor
118
// Bosch license warning added. Error counters finished. Overload frames
119
// still need to be fixed.
120
//
121
// Revision 1.10  2003/01/31 01:13:38  mohor
122
// backup.
123
//
124
// Revision 1.9  2003/01/15 13:16:48  mohor
125
// When a frame with "remote request" is received, no data is stored
126
// to fifo, just the frame information (identifier, ...). Data length
127
// that is stored is the received data length and not the actual data
128
// length that is stored to fifo.
129
//
130
// Revision 1.8  2003/01/14 17:25:09  mohor
131
// Addresses corrected to decimal values (previously hex).
132
//
133
// Revision 1.7  2003/01/14 12:19:35  mohor
134
// rx_fifo is now working.
135
//
136
// Revision 1.6  2003/01/10 17:51:34  mohor
137
// Temporary version (backup).
138
//
139
// Revision 1.5  2003/01/09 14:46:58  mohor
140
// Temporary files (backup).
141
//
142
// Revision 1.4  2003/01/08 02:10:55  mohor
143
// Acceptance filter added.
144
//
145
// Revision 1.3  2002/12/27 00:12:52  mohor
146
// Header changed, testbench improved to send a frame (crc still missing).
147
//
148
// Revision 1.2  2002/12/26 16:00:34  mohor
149
// Testbench define file added. Clock divider register added.
150
//
151
// Revision 1.1.1.1  2002/12/20 16:39:21  mohor
152
// Initial
153
//
154
//
155
//
156
 
157
// synopsys translate_off
158
`include "timescale.v"
159
// synopsys translate_on
160
`include "can_defines.v"
161
 
162
module can_registers
163
(
164
  clk,
165
  rst,
166
  cs,
167
  we,
168
  addr,
169
  data_in,
170
  data_out,
171 147 igorm
  irq_n,
172 66 mohor
 
173
  sample_point,
174
  transmitting,
175
  set_reset_mode,
176
  node_bus_off,
177
  error_status,
178
  rx_err_cnt,
179
  tx_err_cnt,
180
  transmit_status,
181
  receive_status,
182
  tx_successful,
183
  need_to_tx,
184
  overrun,
185
  info_empty,
186
  set_bus_error_irq,
187
  set_arbitration_lost_irq,
188
  arbitration_lost_capture,
189
  node_error_passive,
190
  node_error_active,
191
  rx_message_counter,
192
 
193
 
194
  /* Mode register */
195
  reset_mode,
196
  listen_only_mode,
197
  acceptance_filter_mode,
198
  self_test_mode,
199
 
200
 
201
  /* Command register */
202
  clear_data_overrun,
203
  release_buffer,
204
  abort_tx,
205
  tx_request,
206
  self_rx_request,
207
  single_shot_transmission,
208 104 tadejm
  tx_state,
209
  tx_state_q,
210 125 mohor
  overload_request,
211
  overload_frame,
212 66 mohor
 
213
  /* Arbitration Lost Capture Register */
214
  read_arbitration_lost_capture_reg,
215
 
216
  /* Error Code Capture Register */
217
  read_error_code_capture_reg,
218
  error_capture_code,
219
 
220
  /* Bus Timing 0 register */
221
  baud_r_presc,
222
  sync_jump_width,
223
 
224
  /* Bus Timing 1 register */
225
  time_segment1,
226
  time_segment2,
227
  triple_sampling,
228
 
229
  /* Error Warning Limit register */
230
  error_warning_limit,
231
 
232
  /* Rx Error Counter register */
233
  we_rx_err_cnt,
234
 
235
  /* Tx Error Counter register */
236
  we_tx_err_cnt,
237
 
238
  /* Clock Divider register */
239
  extended_mode,
240
  clkout,
241
 
242
 
243
  /* This section is for BASIC and EXTENDED mode */
244
  /* Acceptance code register */
245
  acceptance_code_0,
246
 
247
  /* Acceptance mask register */
248
  acceptance_mask_0,
249
  /* End: This section is for BASIC and EXTENDED mode */
250
 
251
  /* This section is for EXTENDED mode */
252
  /* Acceptance code register */
253
  acceptance_code_1,
254
  acceptance_code_2,
255
  acceptance_code_3,
256
 
257
  /* Acceptance mask register */
258
  acceptance_mask_1,
259
  acceptance_mask_2,
260
  acceptance_mask_3,
261
  /* End: This section is for EXTENDED mode */
262
 
263
  /* Tx data registers. Holding identifier (basic mode), tx frame information (extended mode) and data */
264
  tx_data_0,
265
  tx_data_1,
266
  tx_data_2,
267
  tx_data_3,
268
  tx_data_4,
269
  tx_data_5,
270
  tx_data_6,
271
  tx_data_7,
272
  tx_data_8,
273
  tx_data_9,
274
  tx_data_10,
275
  tx_data_11,
276
  tx_data_12
277
  /* End: Tx data registers */
278
 
279
 
280
 
281
 
282
);
283
 
284
parameter Tp = 1;
285
 
286
input         clk;
287
input         rst;
288
input         cs;
289
input         we;
290
input   [7:0] addr;
291
input   [7:0] data_in;
292
 
293
output  [7:0] data_out;
294
reg     [7:0] data_out;
295
 
296 147 igorm
output        irq_n;
297 66 mohor
 
298
input         sample_point;
299
input         transmitting;
300
input         set_reset_mode;
301
input         node_bus_off;
302
input         error_status;
303
input   [7:0] rx_err_cnt;
304
input   [7:0] tx_err_cnt;
305
input         transmit_status;
306
input         receive_status;
307
input         tx_successful;
308
input         need_to_tx;
309
input         overrun;
310
input         info_empty;
311
input         set_bus_error_irq;
312
input         set_arbitration_lost_irq;
313
input   [4:0] arbitration_lost_capture;
314
input         node_error_passive;
315
input         node_error_active;
316
input   [6:0] rx_message_counter;
317
 
318
 
319
 
320
/* Mode register */
321
output        reset_mode;
322
output        listen_only_mode;
323
output        acceptance_filter_mode;
324
output        self_test_mode;
325
 
326
/* Command register */
327
output        clear_data_overrun;
328
output        release_buffer;
329
output        abort_tx;
330
output        tx_request;
331
output        self_rx_request;
332
output        single_shot_transmission;
333 104 tadejm
input         tx_state;
334
input         tx_state_q;
335 125 mohor
output        overload_request;
336
input         overload_frame;
337 66 mohor
 
338 125 mohor
 
339 66 mohor
/* Arbitration Lost Capture Register */
340
output        read_arbitration_lost_capture_reg;
341
 
342
/* Error Code Capture Register */
343
output        read_error_code_capture_reg;
344
input   [7:0] error_capture_code;
345
 
346
/* Bus Timing 0 register */
347
output  [5:0] baud_r_presc;
348
output  [1:0] sync_jump_width;
349
 
350
 
351
/* Bus Timing 1 register */
352
output  [3:0] time_segment1;
353
output  [2:0] time_segment2;
354
output        triple_sampling;
355
 
356
/* Error Warning Limit register */
357
output  [7:0] error_warning_limit;
358
 
359
/* Rx Error Counter register */
360
output        we_rx_err_cnt;
361
 
362
/* Tx Error Counter register */
363
output        we_tx_err_cnt;
364
 
365
/* Clock Divider register */
366
output        extended_mode;
367
output        clkout;
368
 
369
 
370
/* This section is for BASIC and EXTENDED mode */
371
/* Acceptance code register */
372
output  [7:0] acceptance_code_0;
373
 
374
/* Acceptance mask register */
375
output  [7:0] acceptance_mask_0;
376
 
377
/* End: This section is for BASIC and EXTENDED mode */
378
 
379
 
380
/* This section is for EXTENDED mode */
381
/* Acceptance code register */
382
output  [7:0] acceptance_code_1;
383
output  [7:0] acceptance_code_2;
384
output  [7:0] acceptance_code_3;
385
 
386
/* Acceptance mask register */
387
output  [7:0] acceptance_mask_1;
388
output  [7:0] acceptance_mask_2;
389
output  [7:0] acceptance_mask_3;
390
 
391
/* End: This section is for EXTENDED mode */
392
 
393
/* Tx data registers. Holding identifier (basic mode), tx frame information (extended mode) and data */
394
output  [7:0] tx_data_0;
395
output  [7:0] tx_data_1;
396
output  [7:0] tx_data_2;
397
output  [7:0] tx_data_3;
398
output  [7:0] tx_data_4;
399
output  [7:0] tx_data_5;
400
output  [7:0] tx_data_6;
401
output  [7:0] tx_data_7;
402
output  [7:0] tx_data_8;
403
output  [7:0] tx_data_9;
404
output  [7:0] tx_data_10;
405
output  [7:0] tx_data_11;
406
output  [7:0] tx_data_12;
407
/* End: Tx data registers */
408
 
409
 
410
reg           tx_successful_q;
411
reg           overrun_q;
412
reg           overrun_status;
413
reg           transmission_complete;
414
reg           transmit_buffer_status_q;
415
reg           receive_buffer_status;
416
reg           error_status_q;
417
reg           node_bus_off_q;
418
reg           node_error_passive_q;
419
reg           transmit_buffer_status;
420
reg           single_shot_transmission;
421 104 tadejm
reg           self_rx_request;
422 147 igorm
reg           irq_n;
423 66 mohor
 
424
// Some interrupts exist in basic mode and in extended mode. Since they are in different registers they need to be multiplexed.
425
wire          data_overrun_irq_en;
426
wire          error_warning_irq_en;
427
wire          transmit_irq_en;
428
wire          receive_irq_en;
429
 
430
wire    [7:0] irq_reg;
431 147 igorm
wire          irq;
432 66 mohor
 
433
wire we_mode                  = cs & we & (addr == 8'd0);
434
wire we_command               = cs & we & (addr == 8'd1);
435
wire we_bus_timing_0          = cs & we & (addr == 8'd6) & reset_mode;
436
wire we_bus_timing_1          = cs & we & (addr == 8'd7) & reset_mode;
437
wire we_clock_divider_low     = cs & we & (addr == 8'd31);
438
wire we_clock_divider_hi      = we_clock_divider_low & reset_mode;
439
 
440
wire read = cs & (~we);
441
wire read_irq_reg = read & (addr == 8'd3);
442
assign read_arbitration_lost_capture_reg = read & extended_mode & (addr == 8'd11);
443
assign read_error_code_capture_reg = read & extended_mode & (addr == 8'd12);
444
 
445
/* This section is for BASIC and EXTENDED mode */
446
wire we_acceptance_code_0       = cs & we &   reset_mode  & ((~extended_mode) & (addr == 8'd4)  | extended_mode & (addr == 8'd16));
447
wire we_acceptance_mask_0       = cs & we &   reset_mode  & ((~extended_mode) & (addr == 8'd5)  | extended_mode & (addr == 8'd20));
448
wire we_tx_data_0               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd10) | extended_mode & (addr == 8'd16)) & transmit_buffer_status;
449
wire we_tx_data_1               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd11) | extended_mode & (addr == 8'd17)) & transmit_buffer_status;
450
wire we_tx_data_2               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd12) | extended_mode & (addr == 8'd18)) & transmit_buffer_status;
451
wire we_tx_data_3               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd13) | extended_mode & (addr == 8'd19)) & transmit_buffer_status;
452
wire we_tx_data_4               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd14) | extended_mode & (addr == 8'd20)) & transmit_buffer_status;
453
wire we_tx_data_5               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd15) | extended_mode & (addr == 8'd21)) & transmit_buffer_status;
454
wire we_tx_data_6               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd16) | extended_mode & (addr == 8'd22)) & transmit_buffer_status;
455
wire we_tx_data_7               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd17) | extended_mode & (addr == 8'd23)) & transmit_buffer_status;
456
wire we_tx_data_8               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd18) | extended_mode & (addr == 8'd24)) & transmit_buffer_status;
457
wire we_tx_data_9               = cs & we & (~reset_mode) & ((~extended_mode) & (addr == 8'd19) | extended_mode & (addr == 8'd25)) & transmit_buffer_status;
458
wire we_tx_data_10              = cs & we & (~reset_mode) & (                                     extended_mode & (addr == 8'd26)) & transmit_buffer_status;
459
wire we_tx_data_11              = cs & we & (~reset_mode) & (                                     extended_mode & (addr == 8'd27)) & transmit_buffer_status;
460
wire we_tx_data_12              = cs & we & (~reset_mode) & (                                     extended_mode & (addr == 8'd28)) & transmit_buffer_status;
461
/* End: This section is for BASIC and EXTENDED mode */
462
 
463
 
464
/* This section is for EXTENDED mode */
465
wire   we_interrupt_enable      = cs & we & (addr == 8'd4)  & extended_mode;
466
wire   we_error_warning_limit   = cs & we & (addr == 8'd13) & reset_mode & extended_mode;
467
assign we_rx_err_cnt            = cs & we & (addr == 8'd14) & reset_mode & extended_mode;
468
assign we_tx_err_cnt            = cs & we & (addr == 8'd15) & reset_mode & extended_mode;
469
wire   we_acceptance_code_1     = cs & we & (addr == 8'd17) & reset_mode & extended_mode;
470
wire   we_acceptance_code_2     = cs & we & (addr == 8'd18) & reset_mode & extended_mode;
471
wire   we_acceptance_code_3     = cs & we & (addr == 8'd19) & reset_mode & extended_mode;
472
wire   we_acceptance_mask_1     = cs & we & (addr == 8'd21) & reset_mode & extended_mode;
473
wire   we_acceptance_mask_2     = cs & we & (addr == 8'd22) & reset_mode & extended_mode;
474
wire   we_acceptance_mask_3     = cs & we & (addr == 8'd23) & reset_mode & extended_mode;
475
/* End: This section is for EXTENDED mode */
476
 
477
 
478
 
479
always @ (posedge clk)
480
begin
481
  tx_successful_q           <=#Tp tx_successful;
482
  overrun_q                 <=#Tp overrun;
483
  transmit_buffer_status_q  <=#Tp transmit_buffer_status;
484
  error_status_q            <=#Tp error_status;
485
  node_bus_off_q            <=#Tp node_bus_off;
486
  node_error_passive_q      <=#Tp node_error_passive;
487
end
488
 
489
 
490
 
491
/* Mode register */
492
wire   [0:0] mode;
493
wire   [4:1] mode_basic;
494
wire   [3:1] mode_ext;
495
wire         receive_irq_en_basic;
496
wire         transmit_irq_en_basic;
497
wire         error_irq_en_basic;
498
wire         overrun_irq_en_basic;
499
 
500
can_register_asyn_syn #(1, 1'h1) MODE_REG0
501
( .data_in(data_in[0]),
502
  .data_out(mode[0]),
503
  .we(we_mode),
504
  .clk(clk),
505
  .rst(rst),
506
  .rst_sync(set_reset_mode)
507
);
508
 
509
can_register_asyn #(4, 0) MODE_REG_BASIC
510
( .data_in(data_in[4:1]),
511
  .data_out(mode_basic[4:1]),
512
  .we(we_mode),
513
  .clk(clk),
514
  .rst(rst)
515
);
516
 
517
can_register_asyn #(3, 0) MODE_REG_EXT
518
( .data_in(data_in[3:1]),
519
  .data_out(mode_ext[3:1]),
520
  .we(we_mode & reset_mode),
521
  .clk(clk),
522
  .rst(rst)
523
);
524
 
525
assign reset_mode             = mode[0];
526 69 mohor
assign listen_only_mode       = extended_mode & mode_ext[1];
527
assign self_test_mode         = extended_mode & mode_ext[2];
528
assign acceptance_filter_mode = extended_mode & mode_ext[3];
529 66 mohor
 
530
assign receive_irq_en_basic  = mode_basic[1];
531
assign transmit_irq_en_basic = mode_basic[2];
532
assign error_irq_en_basic    = mode_basic[3];
533
assign overrun_irq_en_basic  = mode_basic[4];
534
/* End Mode register */
535
 
536
 
537
/* Command register */
538
wire   [4:0] command;
539
can_register_asyn_syn #(1, 1'h0) COMMAND_REG0
540
( .data_in(data_in[0]),
541
  .data_out(command[0]),
542
  .we(we_command),
543
  .clk(clk),
544
  .rst(rst),
545 104 tadejm
  .rst_sync(command[0] & sample_point)
546 66 mohor
);
547
 
548
can_register_asyn_syn #(1, 1'h0) COMMAND_REG1
549
( .data_in(data_in[1]),
550
  .data_out(command[1]),
551
  .we(we_command),
552
  .clk(clk),
553
  .rst(rst),
554 104 tadejm
  .rst_sync(sample_point & (tx_request | (abort_tx & ~transmitting)))
555 66 mohor
);
556
 
557
can_register_asyn_syn #(2, 2'h0) COMMAND_REG
558
( .data_in(data_in[3:2]),
559
  .data_out(command[3:2]),
560
  .we(we_command),
561
  .clk(clk),
562
  .rst(rst),
563
  .rst_sync(|command[3:2])
564
);
565
 
566
can_register_asyn_syn #(1, 1'h0) COMMAND_REG4
567
( .data_in(data_in[4]),
568
  .data_out(command[4]),
569
  .we(we_command),
570
  .clk(clk),
571
  .rst(rst),
572 104 tadejm
  .rst_sync(command[4] & sample_point)
573 66 mohor
);
574
 
575 104 tadejm
 
576
always @ (posedge clk or posedge rst)
577
begin
578
  if (rst)
579
    self_rx_request <= 1'b0;
580
  else if (command[4] & (~command[0]))
581
    self_rx_request <=#Tp 1'b1;
582
  else if ((~tx_state) & tx_state_q)
583
    self_rx_request <=#Tp 1'b0;
584
end
585
 
586
 
587 66 mohor
assign clear_data_overrun = command[3];
588
assign release_buffer = command[2];
589
assign tx_request = command[0] | command[4];
590 104 tadejm
assign abort_tx = command[1] & (~tx_request);
591 66 mohor
 
592
 
593
always @ (posedge clk or posedge rst)
594
begin
595
  if (rst)
596
    single_shot_transmission <= 1'b0;
597 104 tadejm
  else if (tx_request & command[1] & sample_point)
598 66 mohor
    single_shot_transmission <=#Tp 1'b1;
599 104 tadejm
  else if ((~tx_state) & tx_state_q)
600 66 mohor
    single_shot_transmission <=#Tp 1'b0;
601
end
602
 
603
 
604 125 mohor
/*
605
can_register_asyn_syn #(1, 1'h0) COMMAND_REG_OVERLOAD  // Uncomment this to enable overload requests !!!
606
( .data_in(data_in[5]),
607
  .data_out(overload_request),
608
  .we(we_command),
609
  .clk(clk),
610
  .rst(rst),
611
  .rst_sync(overload_frame & ~overload_frame_q)
612
);
613 66 mohor
 
614 125 mohor
reg           overload_frame_q;
615
 
616
always @ (posedge clk or posedge rst)
617
begin
618
  if (rst)
619
    overload_frame_q <= 1'b0;
620
  else
621
    overload_frame_q <=#Tp overload_frame;
622
end
623
*/
624
assign overload_request = 0;  // Overload requests are not supported, yet !!!
625
 
626
 
627
 
628
 
629
 
630 66 mohor
/* End Command register */
631
 
632
 
633
/* Status register */
634
 
635
wire   [7:0] status;
636
 
637
assign status[7] = node_bus_off;
638
assign status[6] = error_status;
639
assign status[5] = transmit_status;
640
assign status[4] = receive_status;
641
assign status[3] = transmission_complete;
642
assign status[2] = transmit_buffer_status;
643
assign status[1] = overrun_status;
644
assign status[0] = receive_buffer_status;
645
 
646
 
647
 
648
always @ (posedge clk or posedge rst)
649
begin
650
  if (rst)
651
    transmission_complete <= 1'b1;
652
  else if (tx_successful & (~tx_successful_q) | abort_tx)
653
    transmission_complete <=#Tp 1'b1;
654
  else if (tx_request)
655
    transmission_complete <=#Tp 1'b0;
656
end
657
 
658
 
659
always @ (posedge clk or posedge rst)
660
begin
661
  if (rst)
662
    transmit_buffer_status <= 1'b1;
663
  else if (tx_request)
664
    transmit_buffer_status <=#Tp 1'b0;
665
  else if (~need_to_tx)
666
    transmit_buffer_status <=#Tp 1'b1;
667
end
668
 
669
 
670
always @ (posedge clk or posedge rst)
671
begin
672
  if (rst)
673
    overrun_status <= 1'b0;
674
  else if (overrun & (~overrun_q))
675
    overrun_status <=#Tp 1'b1;
676
  else if (clear_data_overrun)
677
    overrun_status <=#Tp 1'b0;
678
end
679
 
680
 
681
always @ (posedge clk or posedge rst)
682
begin
683
  if (rst)
684
    receive_buffer_status <= 1'b0;
685
  else if (release_buffer)
686
    receive_buffer_status <=#Tp 1'b0;
687
  else if (~info_empty)
688
    receive_buffer_status <=#Tp 1'b1;
689
end
690
 
691
/* End Status register */
692
 
693
 
694
/* Interrupt Enable register (extended mode) */
695
wire   [7:0] irq_en_ext;
696
wire         bus_error_irq_en;
697
wire         arbitration_lost_irq_en;
698
wire         error_passive_irq_en;
699
wire         data_overrun_irq_en_ext;
700
wire         error_warning_irq_en_ext;
701
wire         transmit_irq_en_ext;
702
wire         receive_irq_en_ext;
703
 
704
can_register #(8) IRQ_EN_REG
705
( .data_in(data_in),
706
  .data_out(irq_en_ext),
707
  .we(we_interrupt_enable),
708
  .clk(clk)
709
);
710
 
711
 
712
assign bus_error_irq_en             = irq_en_ext[7];
713
assign arbitration_lost_irq_en      = irq_en_ext[6];
714
assign error_passive_irq_en         = irq_en_ext[5];
715
assign data_overrun_irq_en_ext      = irq_en_ext[3];
716
assign error_warning_irq_en_ext     = irq_en_ext[2];
717
assign transmit_irq_en_ext          = irq_en_ext[1];
718
assign receive_irq_en_ext           = irq_en_ext[0];
719
/* End Bus Timing 0 register */
720
 
721
 
722
/* Bus Timing 0 register */
723
wire   [7:0] bus_timing_0;
724
can_register #(8) BUS_TIMING_0_REG
725
( .data_in(data_in),
726
  .data_out(bus_timing_0),
727
  .we(we_bus_timing_0),
728
  .clk(clk)
729
);
730
 
731
assign baud_r_presc = bus_timing_0[5:0];
732
assign sync_jump_width = bus_timing_0[7:6];
733
/* End Bus Timing 0 register */
734
 
735
 
736
/* Bus Timing 1 register */
737
wire   [7:0] bus_timing_1;
738
can_register #(8) BUS_TIMING_1_REG
739
( .data_in(data_in),
740
  .data_out(bus_timing_1),
741
  .we(we_bus_timing_1),
742
  .clk(clk)
743
);
744
 
745
assign time_segment1 = bus_timing_1[3:0];
746
assign time_segment2 = bus_timing_1[6:4];
747
assign triple_sampling = bus_timing_1[7];
748
/* End Bus Timing 1 register */
749
 
750
 
751
/* Error Warning Limit register */
752
can_register_asyn #(8, 96) ERROR_WARNING_REG
753
( .data_in(data_in),
754
  .data_out(error_warning_limit),
755
  .we(we_error_warning_limit),
756
  .clk(clk),
757
  .rst(rst)
758
);
759
/* End Error Warning Limit register */
760
 
761
 
762
 
763
/* Clock Divider register */
764
wire   [7:0] clock_divider;
765
wire         clock_off;
766
wire   [2:0] cd;
767
reg    [2:0] clkout_div;
768
reg    [2:0] clkout_cnt;
769
reg          clkout_tmp;
770
 
771 92 mohor
can_register_asyn #(1, 0) CLOCK_DIVIDER_REG_7
772 66 mohor
( .data_in(data_in[7]),
773
  .data_out(clock_divider[7]),
774
  .we(we_clock_divider_hi),
775 92 mohor
  .clk(clk),
776
  .rst(rst)
777 66 mohor
);
778
 
779
assign clock_divider[6:4] = 3'h0;
780
 
781 92 mohor
can_register_asyn #(1, 0) CLOCK_DIVIDER_REG_3
782 66 mohor
( .data_in(data_in[3]),
783
  .data_out(clock_divider[3]),
784
  .we(we_clock_divider_hi),
785 92 mohor
  .clk(clk),
786
  .rst(rst)
787 66 mohor
);
788
 
789 92 mohor
can_register_asyn #(3, 0) CLOCK_DIVIDER_REG_LOW
790 66 mohor
( .data_in(data_in[2:0]),
791
  .data_out(clock_divider[2:0]),
792
  .we(we_clock_divider_low),
793 92 mohor
  .clk(clk),
794
  .rst(rst)
795 66 mohor
);
796
 
797
assign extended_mode = clock_divider[7];
798
assign clock_off     = clock_divider[3];
799
assign cd[2:0]       = clock_divider[2:0];
800
 
801
 
802
 
803
always @ (cd)
804
begin
805 93 mohor
  case (cd)                       /* synthesis full_case parallel_case */
806 111 mohor
    3'b000 : clkout_div = 3'd0;
807
    3'b001 : clkout_div = 3'd1;
808
    3'b010 : clkout_div = 3'd2;
809
    3'b011 : clkout_div = 3'd3;
810
    3'b100 : clkout_div = 3'd4;
811
    3'b101 : clkout_div = 3'd5;
812
    3'b110 : clkout_div = 3'd6;
813
    3'b111 : clkout_div = 3'd0;
814 66 mohor
  endcase
815
end
816
 
817
 
818
 
819
always @ (posedge clk or posedge rst)
820
begin
821
  if (rst)
822
    clkout_cnt <= 3'h0;
823
  else if (clkout_cnt == clkout_div)
824
    clkout_cnt <=#Tp 3'h0;
825
  else
826
    clkout_cnt <= clkout_cnt + 1'b1;
827
end
828
 
829
 
830
 
831
always @ (posedge clk or posedge rst)
832
begin
833
  if (rst)
834
    clkout_tmp <= 1'b0;
835
  else if (clkout_cnt == clkout_div)
836
    clkout_tmp <=#Tp ~clkout_tmp;
837
end
838
 
839
 
840
assign clkout = clock_off ? 1'b1 : ((&cd)? clk : clkout_tmp);
841
 
842
 
843
 
844
/* End Clock Divider register */
845
 
846
 
847
 
848
 
849
/* This section is for BASIC and EXTENDED mode */
850
 
851
/* Acceptance code register */
852
can_register #(8) ACCEPTANCE_CODE_REG0
853
( .data_in(data_in),
854
  .data_out(acceptance_code_0),
855
  .we(we_acceptance_code_0),
856
  .clk(clk)
857
);
858
/* End: Acceptance code register */
859
 
860
 
861
/* Acceptance mask register */
862
can_register #(8) ACCEPTANCE_MASK_REG0
863
( .data_in(data_in),
864
  .data_out(acceptance_mask_0),
865
  .we(we_acceptance_mask_0),
866
  .clk(clk)
867
);
868
/* End: Acceptance mask register */
869
/* End: This section is for BASIC and EXTENDED mode */
870
 
871
 
872
/* Tx data 0 register. */
873
can_register #(8) TX_DATA_REG0
874
( .data_in(data_in),
875
  .data_out(tx_data_0),
876
  .we(we_tx_data_0),
877
  .clk(clk)
878
);
879
/* End: Tx data 0 register. */
880
 
881
 
882
/* Tx data 1 register. */
883
can_register #(8) TX_DATA_REG1
884
( .data_in(data_in),
885
  .data_out(tx_data_1),
886
  .we(we_tx_data_1),
887
  .clk(clk)
888
);
889
/* End: Tx data 1 register. */
890
 
891
 
892
/* Tx data 2 register. */
893
can_register #(8) TX_DATA_REG2
894
( .data_in(data_in),
895
  .data_out(tx_data_2),
896
  .we(we_tx_data_2),
897
  .clk(clk)
898
);
899
/* End: Tx data 2 register. */
900
 
901
 
902
/* Tx data 3 register. */
903
can_register #(8) TX_DATA_REG3
904
( .data_in(data_in),
905
  .data_out(tx_data_3),
906
  .we(we_tx_data_3),
907
  .clk(clk)
908
);
909
/* End: Tx data 3 register. */
910
 
911
 
912
/* Tx data 4 register. */
913
can_register #(8) TX_DATA_REG4
914
( .data_in(data_in),
915
  .data_out(tx_data_4),
916
  .we(we_tx_data_4),
917
  .clk(clk)
918
);
919
/* End: Tx data 4 register. */
920
 
921
 
922
/* Tx data 5 register. */
923
can_register #(8) TX_DATA_REG5
924
( .data_in(data_in),
925
  .data_out(tx_data_5),
926
  .we(we_tx_data_5),
927
  .clk(clk)
928
);
929
/* End: Tx data 5 register. */
930
 
931
 
932
/* Tx data 6 register. */
933
can_register #(8) TX_DATA_REG6
934
( .data_in(data_in),
935
  .data_out(tx_data_6),
936
  .we(we_tx_data_6),
937
  .clk(clk)
938
);
939
/* End: Tx data 6 register. */
940
 
941
 
942
/* Tx data 7 register. */
943
can_register #(8) TX_DATA_REG7
944
( .data_in(data_in),
945
  .data_out(tx_data_7),
946
  .we(we_tx_data_7),
947
  .clk(clk)
948
);
949
/* End: Tx data 7 register. */
950
 
951
 
952
/* Tx data 8 register. */
953
can_register #(8) TX_DATA_REG8
954
( .data_in(data_in),
955
  .data_out(tx_data_8),
956
  .we(we_tx_data_8),
957
  .clk(clk)
958
);
959
/* End: Tx data 8 register. */
960
 
961
 
962
/* Tx data 9 register. */
963
can_register #(8) TX_DATA_REG9
964
( .data_in(data_in),
965
  .data_out(tx_data_9),
966
  .we(we_tx_data_9),
967
  .clk(clk)
968
);
969
/* End: Tx data 9 register. */
970
 
971
 
972
/* Tx data 10 register. */
973
can_register #(8) TX_DATA_REG10
974
( .data_in(data_in),
975
  .data_out(tx_data_10),
976
  .we(we_tx_data_10),
977
  .clk(clk)
978
);
979
/* End: Tx data 10 register. */
980
 
981
 
982
/* Tx data 11 register. */
983
can_register #(8) TX_DATA_REG11
984
( .data_in(data_in),
985
  .data_out(tx_data_11),
986
  .we(we_tx_data_11),
987
  .clk(clk)
988
);
989
/* End: Tx data 11 register. */
990
 
991
 
992
/* Tx data 12 register. */
993
can_register #(8) TX_DATA_REG12
994
( .data_in(data_in),
995
  .data_out(tx_data_12),
996
  .we(we_tx_data_12),
997
  .clk(clk)
998
);
999
/* End: Tx data 12 register. */
1000
 
1001
 
1002
 
1003
 
1004
 
1005
/* This section is for EXTENDED mode */
1006
 
1007
/* Acceptance code register 1 */
1008
can_register #(8) ACCEPTANCE_CODE_REG1
1009
( .data_in(data_in),
1010
  .data_out(acceptance_code_1),
1011
  .we(we_acceptance_code_1),
1012
  .clk(clk)
1013
);
1014
/* End: Acceptance code register */
1015
 
1016
 
1017
/* Acceptance code register 2 */
1018
can_register #(8) ACCEPTANCE_CODE_REG2
1019
( .data_in(data_in),
1020
  .data_out(acceptance_code_2),
1021
  .we(we_acceptance_code_2),
1022
  .clk(clk)
1023
);
1024
/* End: Acceptance code register */
1025
 
1026
 
1027
/* Acceptance code register 3 */
1028
can_register #(8) ACCEPTANCE_CODE_REG3
1029
( .data_in(data_in),
1030
  .data_out(acceptance_code_3),
1031
  .we(we_acceptance_code_3),
1032
  .clk(clk)
1033
);
1034
/* End: Acceptance code register */
1035
 
1036
 
1037
/* Acceptance mask register 1 */
1038
can_register #(8) ACCEPTANCE_MASK_REG1
1039
( .data_in(data_in),
1040
  .data_out(acceptance_mask_1),
1041
  .we(we_acceptance_mask_1),
1042
  .clk(clk)
1043
);
1044
/* End: Acceptance code register */
1045
 
1046
 
1047
/* Acceptance mask register 2 */
1048
can_register #(8) ACCEPTANCE_MASK_REG2
1049
( .data_in(data_in),
1050
  .data_out(acceptance_mask_2),
1051
  .we(we_acceptance_mask_2),
1052
  .clk(clk)
1053
);
1054
/* End: Acceptance code register */
1055
 
1056
 
1057
/* Acceptance mask register 3 */
1058
can_register #(8) ACCEPTANCE_MASK_REG3
1059
( .data_in(data_in),
1060
  .data_out(acceptance_mask_3),
1061
  .we(we_acceptance_mask_3),
1062
  .clk(clk)
1063
);
1064
/* End: Acceptance code register */
1065
 
1066
 
1067
/* End: This section is for EXTENDED mode */
1068
 
1069
 
1070
 
1071
 
1072
// Reading data from registers
1073 111 mohor
always @ ( addr or extended_mode or mode or bus_timing_0 or bus_timing_1 or clock_divider or
1074 66 mohor
           acceptance_code_0 or acceptance_code_1 or acceptance_code_2 or acceptance_code_3 or
1075
           acceptance_mask_0 or acceptance_mask_1 or acceptance_mask_2 or acceptance_mask_3 or
1076
           reset_mode or tx_data_0 or tx_data_1 or tx_data_2 or tx_data_3 or tx_data_4 or
1077
           tx_data_5 or tx_data_6 or tx_data_7 or tx_data_8 or tx_data_9 or status or
1078
           error_warning_limit or rx_err_cnt or tx_err_cnt or irq_en_ext or irq_reg or mode_ext or
1079
           arbitration_lost_capture or rx_message_counter or mode_basic or error_capture_code
1080
         )
1081
begin
1082 111 mohor
  case({extended_mode, addr[4:0]})  /* synthesis parallel_case */
1083
    {1'h1, 5'd00} :  data_out = {4'b0000, mode_ext[3:1], mode[0]};      // extended mode
1084
    {1'h1, 5'd01} :  data_out = 8'h0;                                   // extended mode
1085
    {1'h1, 5'd02} :  data_out = status;                                 // extended mode
1086
    {1'h1, 5'd03} :  data_out = irq_reg;                                // extended mode
1087
    {1'h1, 5'd04} :  data_out = irq_en_ext;                             // extended mode
1088
    {1'h1, 5'd06} :  data_out = bus_timing_0;                           // extended mode
1089
    {1'h1, 5'd07} :  data_out = bus_timing_1;                           // extended mode
1090
    {1'h1, 5'd11} :  data_out = {3'h0, arbitration_lost_capture[4:0]};  // extended mode
1091
    {1'h1, 5'd12} :  data_out = error_capture_code;                     // extended mode
1092
    {1'h1, 5'd13} :  data_out = error_warning_limit;                    // extended mode
1093
    {1'h1, 5'd14} :  data_out = rx_err_cnt;                             // extended mode
1094
    {1'h1, 5'd15} :  data_out = tx_err_cnt;                             // extended mode
1095
    {1'h1, 5'd16} :  data_out = acceptance_code_0;                      // extended mode
1096
    {1'h1, 5'd17} :  data_out = acceptance_code_1;                      // extended mode
1097
    {1'h1, 5'd18} :  data_out = acceptance_code_2;                      // extended mode
1098
    {1'h1, 5'd19} :  data_out = acceptance_code_3;                      // extended mode
1099
    {1'h1, 5'd20} :  data_out = acceptance_mask_0;                      // extended mode
1100
    {1'h1, 5'd21} :  data_out = acceptance_mask_1;                      // extended mode
1101
    {1'h1, 5'd22} :  data_out = acceptance_mask_2;                      // extended mode
1102
    {1'h1, 5'd23} :  data_out = acceptance_mask_3;                      // extended mode
1103
    {1'h1, 5'd24} :  data_out = 8'h0;                                   // extended mode
1104
    {1'h1, 5'd25} :  data_out = 8'h0;                                   // extended mode
1105
    {1'h1, 5'd26} :  data_out = 8'h0;                                   // extended mode
1106
    {1'h1, 5'd27} :  data_out = 8'h0;                                   // extended mode
1107
    {1'h1, 5'd28} :  data_out = 8'h0;                                   // extended mode
1108
    {1'h1, 5'd29} :  data_out = {1'b0, rx_message_counter};             // extended mode
1109
    {1'h1, 5'd31} :  data_out = clock_divider;                          // extended mode
1110
    {1'h0, 5'd00} :  data_out = {3'b001, mode_basic[4:1], mode[0]};     // basic mode
1111
    {1'h0, 5'd01} :  data_out = 8'hff;                                  // basic mode
1112
    {1'h0, 5'd02} :  data_out = status;                                 // basic mode
1113
    {1'h0, 5'd03} :  data_out = {4'hf, irq_reg[3:0]};                   // basic mode
1114
    {1'h0, 5'd04} :  data_out = reset_mode? acceptance_code_0 : 8'hff;  // basic mode
1115
    {1'h0, 5'd05} :  data_out = reset_mode? acceptance_mask_0 : 8'hff;  // basic mode
1116
    {1'h0, 5'd06} :  data_out = reset_mode? bus_timing_0 : 8'hff;       // basic mode
1117
    {1'h0, 5'd07} :  data_out = reset_mode? bus_timing_1 : 8'hff;       // basic mode
1118
    {1'h0, 5'd10} :  data_out = reset_mode? 8'hff : tx_data_0;          // basic mode
1119
    {1'h0, 5'd11} :  data_out = reset_mode? 8'hff : tx_data_1;          // basic mode
1120
    {1'h0, 5'd12} :  data_out = reset_mode? 8'hff : tx_data_2;          // basic mode
1121
    {1'h0, 5'd13} :  data_out = reset_mode? 8'hff : tx_data_3;          // basic mode
1122
    {1'h0, 5'd14} :  data_out = reset_mode? 8'hff : tx_data_4;          // basic mode
1123
    {1'h0, 5'd15} :  data_out = reset_mode? 8'hff : tx_data_5;          // basic mode
1124
    {1'h0, 5'd16} :  data_out = reset_mode? 8'hff : tx_data_6;          // basic mode
1125
    {1'h0, 5'd17} :  data_out = reset_mode? 8'hff : tx_data_7;          // basic mode
1126
    {1'h0, 5'd18} :  data_out = reset_mode? 8'hff : tx_data_8;          // basic mode
1127
    {1'h0, 5'd19} :  data_out = reset_mode? 8'hff : tx_data_9;          // basic mode
1128
    {1'h0, 5'd31} :  data_out = clock_divider;                          // basic mode
1129
    default :  data_out = 8'h0;                                   // the rest is read as 0
1130
  endcase
1131 66 mohor
end
1132
 
1133
 
1134
// Some interrupts exist in basic mode and in extended mode. Since they are in different registers they need to be multiplexed.
1135
assign data_overrun_irq_en  = extended_mode ? data_overrun_irq_en_ext  : overrun_irq_en_basic;
1136
assign error_warning_irq_en = extended_mode ? error_warning_irq_en_ext : error_irq_en_basic;
1137
assign transmit_irq_en      = extended_mode ? transmit_irq_en_ext      : transmit_irq_en_basic;
1138
assign receive_irq_en       = extended_mode ? receive_irq_en_ext       : receive_irq_en_basic;
1139
 
1140
 
1141
reg data_overrun_irq;
1142
always @ (posedge clk or posedge rst)
1143
begin
1144
  if (rst)
1145
    data_overrun_irq <= 1'b0;
1146
  else if (overrun & (~overrun_q) & data_overrun_irq_en)
1147
    data_overrun_irq <=#Tp 1'b1;
1148
  else if (read_irq_reg)
1149
    data_overrun_irq <=#Tp 1'b0;
1150
end
1151
 
1152
 
1153
reg transmit_irq;
1154
always @ (posedge clk or posedge rst)
1155
begin
1156
  if (rst)
1157
    transmit_irq <= 1'b0;
1158
  else if (transmit_buffer_status & (~transmit_buffer_status_q) & transmit_irq_en)
1159
    transmit_irq <=#Tp 1'b1;
1160
  else if (read_irq_reg)
1161
    transmit_irq <=#Tp 1'b0;
1162
end
1163
 
1164
 
1165
reg receive_irq;
1166
always @ (posedge clk or posedge rst)
1167
begin
1168
  if (rst)
1169
    receive_irq <= 1'b0;
1170
  else if (release_buffer)
1171
    receive_irq <=#Tp 1'b0;
1172
  else if ((~info_empty) & (~receive_irq) & receive_irq_en)
1173
    receive_irq <=#Tp 1'b1;
1174
end
1175
 
1176
 
1177
reg error_irq;
1178
always @ (posedge clk or posedge rst)
1179
begin
1180
  if (rst)
1181
    error_irq <= 1'b0;
1182
  else if (((error_status ^ error_status_q) | (node_bus_off ^ node_bus_off_q)) & error_warning_irq_en)
1183
    error_irq <=#Tp 1'b1;
1184
  else if (read_irq_reg)
1185
    error_irq <=#Tp 1'b0;
1186
end
1187
 
1188
 
1189
reg bus_error_irq;
1190
always @ (posedge clk or posedge rst)
1191
begin
1192
  if (rst)
1193
    bus_error_irq <= 1'b0;
1194
  else if (set_bus_error_irq & bus_error_irq_en)
1195
    bus_error_irq <=#Tp 1'b1;
1196
  else if (read_irq_reg)
1197
    bus_error_irq <=#Tp 1'b0;
1198
end
1199
 
1200
 
1201
reg arbitration_lost_irq;
1202
always @ (posedge clk or posedge rst)
1203
begin
1204
  if (rst)
1205
    arbitration_lost_irq <= 1'b0;
1206
  else if (set_arbitration_lost_irq & arbitration_lost_irq_en)
1207
    arbitration_lost_irq <=#Tp 1'b1;
1208
  else if (read_irq_reg)
1209
    arbitration_lost_irq <=#Tp 1'b0;
1210
end
1211
 
1212
 
1213
 
1214
reg error_passive_irq;
1215
always @ (posedge clk or posedge rst)
1216
begin
1217
  if (rst)
1218
    error_passive_irq <= 1'b0;
1219
  else if ((node_error_passive & (~node_error_passive_q) | (~node_error_passive) & node_error_passive_q & node_error_active) & error_passive_irq_en)
1220
    error_passive_irq <=#Tp 1'b1;
1221
  else if (read_irq_reg)
1222
    error_passive_irq <=#Tp 1'b0;
1223
end
1224
 
1225
 
1226
 
1227
assign irq_reg = {bus_error_irq, arbitration_lost_irq, error_passive_irq, 1'b0, data_overrun_irq, error_irq, transmit_irq, receive_irq};
1228
 
1229
assign irq = data_overrun_irq | transmit_irq | receive_irq | error_irq | bus_error_irq | arbitration_lost_irq | error_passive_irq;
1230
 
1231
 
1232 147 igorm
always @ (posedge clk or posedge rst)
1233
begin
1234
  if (rst)
1235
    irq_n <= 1'b1;
1236
  else if (read_irq_reg)
1237
    irq_n <=#Tp 1'b1;
1238
  else if (irq)
1239
    irq_n <=#Tp 1'b0;
1240
end
1241 66 mohor
 
1242
 
1243
 
1244
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.