OpenCores
URL https://opencores.org/ocsvn/can/can/trunk

Subversion Repositories can

[/] [can/] [tags/] [rel_6/] [rtl/] [verilog/] [can_register_syn.v] - Blame information for rev 91

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  can_register_syn.v                                          ////
4
////                                                              ////
5
////                                                              ////
6 9 mohor
////  This file is part of the CAN Protocol Controller            ////
7 2 mohor
////  http://www.opencores.org/projects/can/                      ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15 9 mohor
////  All additional information is available in the README.txt   ////
16 2 mohor
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20 9 mohor
//// Copyright (C) 2002, 2003 Authors                             ////
21 2 mohor
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43 28 mohor
//// The CAN protocol is developed by Robert Bosch GmbH and       ////
44
//// protected by patents. Anybody who wants to implement this    ////
45
//// CAN IP core on silicon has to obtain a CAN protocol license  ////
46
//// from Bosch.                                                  ////
47
////                                                              ////
48 2 mohor
//////////////////////////////////////////////////////////////////////
49
//
50
// CVS Revision History
51
//
52
// $Log: not supported by cvs2svn $
53 58 mohor
// Revision 1.3  2003/02/09 02:24:33  mohor
54
// Bosch license warning added. Error counters finished. Overload frames
55
// still need to be fixed.
56
//
57 28 mohor
// Revision 1.2  2002/12/27 00:12:52  mohor
58
// Header changed, testbench improved to send a frame (crc still missing).
59
//
60 9 mohor
// Revision 1.1.1.1  2002/12/20 16:39:21  mohor
61
// Initial
62 2 mohor
//
63
//
64 9 mohor
//
65 2 mohor
 
66 58 mohor
// synopsys translate_off
67 2 mohor
`include "timescale.v"
68 58 mohor
// synopsys translate_on
69 2 mohor
 
70
 
71
module can_register_syn
72
( data_in,
73
  data_out,
74
  we,
75
  clk,
76
  rst_sync
77
);
78
 
79
parameter WIDTH = 8; // default parameter of the register width
80
parameter RESET_VALUE = 0;
81
 
82
input [WIDTH-1:0] data_in;
83
input             we;
84
input             clk;
85
input             rst_sync;
86
 
87
output [WIDTH-1:0] data_out;
88
reg    [WIDTH-1:0] data_out;
89
 
90
 
91
 
92
always @ (posedge clk)
93
begin
94
  if (rst_sync)                       // synchronous reset
95
    data_out<=#1 RESET_VALUE;
96
  else if (we)                        // write
97
    data_out<=#1 data_in;
98
end
99
 
100
 
101
 
102
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.